SystemVerilog CORDIC block that converts from an input phase (sawtooth wave) to a sine wave
Go to file
Aadi Desai e00149bb62
Add saw2sin_poly.sv
Polynomial approximation to sine
Input 0-65535 represents 0-90 degrees
Output 0-65535 represents 0-1
2023-05-28 16:03:39 +01:00
LICENSE Initial commit 2023-05-28 16:01:36 +01:00
README.md Initial commit 2023-05-28 16:01:36 +01:00
saw2sin_poly.sv Add saw2sin_poly.sv 2023-05-28 16:03:39 +01:00

cordic

SystemVerilog CORDIC block that converts from an input phase (sawtooth wave) to a sine wave