CPU set up for testing and analysis

This commit is contained in:
Aadi Desai 2020-06-11 17:28:00 +01:00
parent 6c1f7fc59b
commit 87f3d0e919
10 changed files with 266 additions and 1333 deletions

View file

@ -118,7 +118,7 @@ https://fpgasoftware.intel.com/eula.
) )
(pin (pin
(input) (input)
(rect 344 480 520 496) (rect 352 448 528 464)
(text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
(text "CLK" (rect 9 0 30 12)(font "Arial" )) (text "CLK" (rect 9 0 30 12)(font "Arial" ))
(pt 176 8) (pt 176 8)
@ -148,25 +148,9 @@ https://fpgasoftware.intel.com/eula.
) )
(text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
) )
(pin
(input)
(rect 344 368 528 384)
(text "INPUT" (rect 141 0 169 10)(font "Arial" (font_size 6)))
(text "memdatain[15..0]" (rect 5 0 89 17)(font "Intel Clear" ))
(pt 184 8)
(drawing
(line (pt 100 12)(pt 125 12))
(line (pt 100 4)(pt 125 4))
(line (pt 129 8)(pt 184 8))
(line (pt 100 12)(pt 100 4))
(line (pt 125 4)(pt 129 8))
(line (pt 125 12)(pt 129 8))
)
(text "VCC" (rect 144 7 164 17)(font "Arial" (font_size 6)))
)
(pin (pin
(output) (output)
(rect 800 272 976 288) (rect 792 272 968 288)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "Rout[15..0]" (rect 90 0 145 12)(font "Arial" )) (text "Rout[15..0]" (rect 90 0 145 12)(font "Arial" ))
(pt 0 8) (pt 0 8)
@ -182,7 +166,7 @@ https://fpgasoftware.intel.com/eula.
) )
(pin (pin
(output) (output)
(rect 800 288 976 304) (rect 792 288 968 304)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "COND" (rect 90 0 123 12)(font "Arial" )) (text "COND" (rect 90 0 123 12)(font "Arial" ))
(pt 0 8) (pt 0 8)
@ -198,55 +182,7 @@ https://fpgasoftware.intel.com/eula.
) )
(pin (pin
(output) (output)
(rect 800 304 976 320) (rect 792 240 968 256)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "CARRY" (rect 90 0 130 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 768 480 944 496)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "MUL_res[31..0]" (rect 90 0 165 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 800 320 976 336)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "jumpflags[7..0]" (rect 90 0 164 12)(font "Arial" ))
(pt 0 8)
(drawing
(line (pt 0 8)(pt 52 8))
(line (pt 52 4)(pt 78 4))
(line (pt 52 12)(pt 78 12))
(line (pt 52 12)(pt 52 4))
(line (pt 78 4)(pt 82 8))
(line (pt 82 8)(pt 78 12))
(line (pt 78 12)(pt 82 8))
)
)
(pin
(output)
(rect 800 240 976 256)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "mul1[15..0]" (rect 90 0 145 12)(font "Arial" )) (text "mul1[15..0]" (rect 90 0 145 12)(font "Arial" ))
(pt 0 8) (pt 0 8)
@ -262,7 +198,7 @@ https://fpgasoftware.intel.com/eula.
) )
(pin (pin
(output) (output)
(rect 800 256 976 272) (rect 792 256 968 272)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "mul2[15..0]" (rect 90 0 145 12)(font "Arial" )) (text "mul2[15..0]" (rect 90 0 145 12)(font "Arial" ))
(pt 0 8) (pt 0 8)
@ -278,9 +214,9 @@ https://fpgasoftware.intel.com/eula.
) )
(pin (pin
(output) (output)
(rect 800 336 976 352) (rect 792 304 968 320)
(text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
(text "memaddr[10..0]" (rect 90 0 165 17)(font "Intel Clear" )) (text "memaddr[10..0]" (rect 90 0 167 12)(font "Arial" ))
(pt 0 8) (pt 0 8)
(drawing (drawing
(line (pt 0 8)(pt 52 8)) (line (pt 0 8)(pt 52 8))
@ -293,7 +229,7 @@ https://fpgasoftware.intel.com/eula.
) )
) )
(symbol (symbol
(rect 544 456 744 552) (rect 552 424 752 520)
(text "mul16" (rect 5 0 43 19)(font "Intel Clear" (font_size 8))) (text "mul16" (rect 5 0 43 19)(font "Intel Clear" (font_size 8)))
(text "MULTIPLIER" (rect 8 75 67 92)(font "Intel Clear" )) (text "MULTIPLIER" (rect 8 75 67 92)(font "Intel Clear" ))
(port (port
@ -329,9 +265,9 @@ https://fpgasoftware.intel.com/eula.
) )
) )
(symbol (symbol
(rect 544 216 776 424) (rect 544 216 768 392)
(text "alu" (rect 5 0 19 12)(font "Arial" )) (text "alu" (rect 5 0 19 12)(font "Arial" ))
(text "ALU_in" (rect 8 192 43 204)(font "Arial" )) (text "ALU_in" (rect 8 160 43 172)(font "Arial" ))
(port (port
(pt 0 32) (pt 0 32)
(input) (input)
@ -389,63 +325,42 @@ https://fpgasoftware.intel.com/eula.
(line (pt 0 144)(pt 16 144)(line_width 3)) (line (pt 0 144)(pt 16 144)(line_width 3))
) )
(port (port
(pt 0 160) (pt 224 32)
(input)
(text "memdatain[15..0]" (rect 0 0 86 12)(font "Arial" ))
(text "memdatain[15..0]" (rect 21 155 107 167)(font "Arial" ))
(line (pt 0 160)(pt 16 160)(line_width 3))
)
(port
(pt 232 32)
(output) (output)
(text "mul1[15..0]" (rect 0 0 55 12)(font "Arial" )) (text "mul1[15..0]" (rect 0 0 55 12)(font "Arial" ))
(text "mul1[15..0]" (rect 165 27 211 39)(font "Arial" )) (text "mul1[15..0]" (rect 157 27 203 39)(font "Arial" ))
(line (pt 232 32)(pt 216 32)(line_width 3)) (line (pt 224 32)(pt 208 32)(line_width 3))
) )
(port (port
(pt 232 48) (pt 224 48)
(output) (output)
(text "mul2[15..0]" (rect 0 0 55 12)(font "Arial" )) (text "mul2[15..0]" (rect 0 0 55 12)(font "Arial" ))
(text "mul2[15..0]" (rect 165 43 211 55)(font "Arial" )) (text "mul2[15..0]" (rect 157 43 203 55)(font "Arial" ))
(line (pt 232 48)(pt 216 48)(line_width 3)) (line (pt 224 48)(pt 208 48)(line_width 3))
) )
(port (port
(pt 232 64) (pt 224 64)
(output) (output)
(text "Rout[15..0]" (rect 0 0 55 12)(font "Arial" )) (text "Rout[15..0]" (rect 0 0 55 12)(font "Arial" ))
(text "Rout[15..0]" (rect 165 59 211 71)(font "Arial" )) (text "Rout[15..0]" (rect 157 59 203 71)(font "Arial" ))
(line (pt 232 64)(pt 216 64)(line_width 3)) (line (pt 224 64)(pt 208 64)(line_width 3))
) )
(port (port
(pt 232 80) (pt 224 80)
(output) (output)
(text "jump" (rect 0 0 23 12)(font "Arial" )) (text "jump" (rect 0 0 23 12)(font "Arial" ))
(text "jump" (rect 192 75 211 87)(font "Arial" )) (text "jump" (rect 184 75 203 87)(font "Arial" ))
(line (pt 232 80)(pt 216 80)) (line (pt 224 80)(pt 208 80))
) )
(port (port
(pt 232 96) (pt 224 96)
(output)
(text "carry" (rect 0 0 25 12)(font "Arial" ))
(text "carry" (rect 190 91 211 103)(font "Arial" ))
(line (pt 232 96)(pt 216 96))
)
(port
(pt 232 112)
(output)
(text "jumpflags[7..0]" (rect 0 0 74 12)(font "Arial" ))
(text "jumpflags[7..0]" (rect 149 107 211 119)(font "Arial" ))
(line (pt 232 112)(pt 216 112)(line_width 3))
)
(port
(pt 232 128)
(output) (output)
(text "memaddr[10..0]" (rect 0 0 77 12)(font "Arial" )) (text "memaddr[10..0]" (rect 0 0 77 12)(font "Arial" ))
(text "memaddr[10..0]" (rect 146 123 211 135)(font "Arial" )) (text "memaddr[10..0]" (rect 138 91 203 103)(font "Arial" ))
(line (pt 232 128)(pt 216 128)(line_width 3)) (line (pt 224 96)(pt 208 96)(line_width 3))
) )
(drawing (drawing
(rectangle (rect 16 16 216 192)) (rectangle (rect 16 16 208 160))
) )
) )
(connector (connector
@ -482,47 +397,17 @@ https://fpgasoftware.intel.com/eula.
(bus) (bus)
) )
(connector (connector
(pt 752 432) (pt 768 280)
(pt 752 488) (pt 792 280)
(bus) (bus)
) )
(connector (connector
(pt 544 504) (pt 768 296)
(pt 536 504) (pt 792 296)
(bus)
) )
(connector (connector
(pt 544 520) (pt 768 312)
(pt 528 520) (pt 792 312)
(bus)
)
(connector
(pt 528 440)
(pt 528 520)
(bus)
)
(connector
(pt 536 448)
(pt 536 504)
(bus)
)
(connector
(pt 752 432)
(pt 536 432)
(bus)
)
(connector
(pt 544 488)
(pt 520 488)
)
(connector
(pt 528 440)
(pt 784 440)
(bus)
)
(connector
(pt 536 328)
(pt 536 432)
(bus) (bus)
) )
(connector (connector
@ -531,78 +416,88 @@ https://fpgasoftware.intel.com/eula.
(bus) (bus)
) )
(connector (connector
(pt 744 488) (pt 776 264)
(pt 752 488) (pt 776 408)
(bus) (bus)
) )
(connector (connector
(pt 752 488) (pt 784 248)
(pt 768 488) (pt 784 416)
(bus) (bus)
) )
(connector (connector
(pt 784 264) (pt 536 328)
(pt 784 440) (pt 536 400)
(bus) (bus)
) )
(connector (connector
(pt 536 448) (pt 768 264)
(pt 792 448) (pt 776 264)
(bus)
)
(connector
(pt 792 248)
(pt 792 448)
(bus)
)
(connector
(pt 776 280)
(pt 800 280)
(bus)
)
(connector
(pt 776 296)
(pt 800 296)
)
(connector
(pt 776 312)
(pt 800 312)
)
(connector
(pt 776 328)
(pt 800 328)
(bus)
)
(connector
(pt 776 248)
(pt 792 248)
(bus)
)
(connector
(pt 792 248)
(pt 800 248)
(bus) (bus)
) )
(connector (connector
(pt 776 264) (pt 776 264)
(pt 784 264) (pt 792 264)
(bus) (bus)
) )
(connector (connector
(pt 784 264) (pt 768 248)
(pt 800 264) (pt 784 248)
(bus) (bus)
) )
(connector (connector
(pt 776 344) (pt 784 248)
(pt 800 344) (pt 792 248)
(bus) (bus)
) )
(connector (connector
(pt 544 376) (pt 536 400)
(pt 528 376) (pt 760 400)
(bus) (bus)
) )
(junction (pt 752 488)) (connector
(junction (pt 784 264)) (pt 760 456)
(junction (pt 792 248)) (pt 752 456)
(bus)
)
(connector
(pt 760 400)
(pt 760 456)
(bus)
)
(connector
(pt 784 416)
(pt 544 416)
(bus)
)
(connector
(pt 544 416)
(pt 544 472)
(bus)
)
(connector
(pt 552 472)
(pt 544 472)
(bus)
)
(connector
(pt 776 408)
(pt 536 408)
(bus)
)
(connector
(pt 536 408)
(pt 536 488)
(bus)
)
(connector
(pt 552 488)
(pt 536 488)
(bus)
)
(connector
(pt 552 456)
(pt 528 456)
)
(junction (pt 776 264))
(junction (pt 784 248))

View file

@ -76,16 +76,9 @@ https://fpgasoftware.intel.com/eula.
(port (port
(pt 0 144) (pt 0 144)
(input) (input)
(text "memdatain[15..0]" (rect 0 0 107 19)(font "Intel Clear" (font_size 8)))
(text "memdatain[15..0]" (rect 21 139 128 158)(font "Intel Clear" (font_size 8)))
(line (pt 0 144)(pt 16 144)(line_width 3))
)
(port
(pt 0 160)
(input)
(text "CLK" (rect 0 0 23 19)(font "Intel Clear" (font_size 8))) (text "CLK" (rect 0 0 23 19)(font "Intel Clear" (font_size 8)))
(text "CLK" (rect 21 155 44 174)(font "Intel Clear" (font_size 8))) (text "CLK" (rect 21 139 44 158)(font "Intel Clear" (font_size 8)))
(line (pt 0 160)(pt 16 160)) (line (pt 0 144)(pt 16 144))
) )
(port (port
(pt 256 32) (pt 256 32)
@ -118,30 +111,9 @@ https://fpgasoftware.intel.com/eula.
(port (port
(pt 256 96) (pt 256 96)
(output) (output)
(text "CARRY" (rect 0 0 41 19)(font "Intel Clear" (font_size 8)))
(text "CARRY" (rect 194 91 235 110)(font "Intel Clear" (font_size 8)))
(line (pt 256 96)(pt 240 96))
)
(port
(pt 256 112)
(output)
(text "jumpflags[7..0]" (rect 0 0 89 19)(font "Intel Clear" (font_size 8)))
(text "jumpflags[7..0]" (rect 146 107 235 126)(font "Intel Clear" (font_size 8)))
(line (pt 256 112)(pt 240 112)(line_width 3))
)
(port
(pt 256 128)
(output)
(text "memaddr[10..0]" (rect 0 0 97 19)(font "Intel Clear" (font_size 8))) (text "memaddr[10..0]" (rect 0 0 97 19)(font "Intel Clear" (font_size 8)))
(text "memaddr[10..0]" (rect 138 123 235 142)(font "Intel Clear" (font_size 8))) (text "memaddr[10..0]" (rect 138 91 235 110)(font "Intel Clear" (font_size 8)))
(line (pt 256 128)(pt 240 128)(line_width 3)) (line (pt 256 96)(pt 240 96)(line_width 3))
)
(port
(pt 256 144)
(output)
(text "MUL_res[31..0]" (rect 0 0 90 19)(font "Intel Clear" (font_size 8)))
(text "MUL_res[31..0]" (rect 145 139 235 158)(font "Intel Clear" (font_size 8)))
(line (pt 256 144)(pt 240 144)(line_width 3))
) )
(drawing (drawing
(rectangle (rect 16 16 240 176)) (rectangle (rect 16 16 240 176))

File diff suppressed because it is too large Load diff

View file

@ -62,6 +62,7 @@ set_global_assignment -name POWER_USE_PVA ON
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name SDC_FILE CPUProject.sdc
set_global_assignment -name VERILOG_FILE LIFOstack.v set_global_assignment -name VERILOG_FILE LIFOstack.v
set_global_assignment -name VERILOG_FILE alu.v set_global_assignment -name VERILOG_FILE alu.v
set_global_assignment -name MIF_FILE LUTSquares.mif set_global_assignment -name MIF_FILE LUTSquares.mif
@ -84,4 +85,5 @@ set_global_assignment -name VERILOG_FILE mux_3x16.v
set_global_assignment -name VERILOG_FILE ADD_1.v set_global_assignment -name VERILOG_FILE ADD_1.v
set_global_assignment -name VERILOG_FILE SM_pipelined.v set_global_assignment -name VERILOG_FILE SM_pipelined.v
set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform.vwf
set_global_assignment -name VECTOR_WAVEFORM_FILE Waveform1.vwf
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

BIN
CPUProject.qws Normal file

Binary file not shown.

4
CPUProject.sdc Normal file
View file

@ -0,0 +1,4 @@
#This file must have the same name as your project
#Make input CLK a clock and set frequency to 100MHz (10ns period)
create_clock -name {CLK} -period 10.0 [get_ports {CLK}]

4
CPUProject.sdc.bak Normal file
View file

@ -0,0 +1,4 @@
#This file must have the same name as your project
#Make input CLK a clock and set frequency to 250MHz (4ns period)
create_clock -name {CLK} -period 4.0 [get_ports {CLK}]

View file

@ -4,9 +4,9 @@ editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur. the Block Editor! File corruption is VERY likely to occur.
*/ */
/* /*
Copyright (C) 2018 Intel Corporation. All rights reserved. Copyright (C) 2019 Intel Corporation. All rights reserved.
Your use of Intel Corporation's design tools, logic functions Your use of Intel Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic and other software and tools, and any partner logic
functions, and any output files from any of the foregoing functions, and any output files from any of the foregoing
(including device programming or simulation files), and any (including device programming or simulation files), and any
associated documentation or information are expressly subject associated documentation or information are expressly subject
@ -16,7 +16,8 @@ the Intel FPGA IP License Agreement, or other applicable license
agreement, including, without limitation, that your use is for agreement, including, without limitation, that your use is for
the sole purpose of programming logic devices manufactured by the sole purpose of programming logic devices manufactured by
Intel and sold by Intel or its authorized distributors. Please Intel and sold by Intel or its authorized distributors. Please
refer to the applicable agreement for further details. refer to the applicable agreement for further details, at
https://fpgasoftware.intel.com/eula.
*/ */
(header "symbol" (version "1.1")) (header "symbol" (version "1.1"))
(symbol (symbol
@ -65,20 +66,6 @@ refer to the applicable agreement for further details.
(text "Dout[15..0]" (rect 121 27 163 39)(font "Arial" )) (text "Dout[15..0]" (rect 121 27 163 39)(font "Arial" ))
(line (pt 184 32)(pt 168 32)(line_width 3)) (line (pt 184 32)(pt 168 32)(line_width 3))
) )
(port
(pt 184 48)
(output)
(text "empty" (rect 0 0 25 12)(font "Arial" ))
(text "empty" (rect 138 43 163 55)(font "Arial" ))
(line (pt 184 48)(pt 168 48)(line_width 1))
)
(port
(pt 184 64)
(output)
(text "full" (rect 0 0 10 12)(font "Arial" ))
(text "full" (rect 153 59 163 71)(font "Arial" ))
(line (pt 184 64)(pt 168 64)(line_width 1))
)
(drawing (drawing
(rectangle (rect 16 16 168 128)(line_width 1)) (rectangle (rect 16 16 168 128)(line_width 1))
) )

View file

@ -1,4 +1,4 @@
module LIFOstack (Din, clk, en, rst, rw, Dout, empty, full); module LIFOstack (Din, clk, en, rst, rw, Dout);
input [15:0] Din; // Data being fed to stack input [15:0] Din; // Data being fed to stack
input clk; // clock signal input input clk; // clock signal input
@ -7,8 +7,8 @@ input rst; // reset pin to clear and reinitialise stack (active high)
input rw; // 0: read, 1: write input rw; // 0: read, 1: write
output reg [15:0] Dout; // Data being pulled from stack output reg [15:0] Dout; // Data being pulled from stack
output reg empty; // goes high to indicate SP is at 0 reg empty; // goes high to indicate SP is at 0
output reg full; // goes high to indicate SP is at (slots) reg full; // goes high to indicate SP is at (slots)
reg [5:0] SP; // Points to slot to save next value to reg [5:0] SP; // Points to slot to save next value to
integer i; integer i;

57
alu.bsf
View file

@ -21,9 +21,9 @@ https://fpgasoftware.intel.com/eula.
*/ */
(header "symbol" (version "1.1")) (header "symbol" (version "1.1"))
(symbol (symbol
(rect 16 16 248 224) (rect 16 16 240 192)
(text "alu" (rect 5 0 15 12)(font "Arial" )) (text "alu" (rect 5 0 15 12)(font "Arial" ))
(text "inst" (rect 8 192 20 204)(font "Arial" )) (text "inst" (rect 8 160 20 172)(font "Arial" ))
(port (port
(pt 0 32) (pt 0 32)
(input) (input)
@ -81,62 +81,41 @@ https://fpgasoftware.intel.com/eula.
(line (pt 0 144)(pt 16 144)(line_width 3)) (line (pt 0 144)(pt 16 144)(line_width 3))
) )
(port (port
(pt 0 160) (pt 224 32)
(input)
(text "memdatain[15..0]" (rect 0 0 67 12)(font "Arial" ))
(text "memdatain[15..0]" (rect 21 155 88 167)(font "Arial" ))
(line (pt 0 160)(pt 16 160)(line_width 3))
)
(port
(pt 232 32)
(output) (output)
(text "mul1[15..0]" (rect 0 0 41 12)(font "Arial" )) (text "mul1[15..0]" (rect 0 0 41 12)(font "Arial" ))
(text "mul1[15..0]" (rect 170 27 211 39)(font "Arial" )) (text "mul1[15..0]" (rect 162 27 203 39)(font "Arial" ))
(line (pt 232 32)(pt 216 32)(line_width 3)) (line (pt 224 32)(pt 208 32)(line_width 3))
) )
(port (port
(pt 232 48) (pt 224 48)
(output) (output)
(text "mul2[15..0]" (rect 0 0 42 12)(font "Arial" )) (text "mul2[15..0]" (rect 0 0 42 12)(font "Arial" ))
(text "mul2[15..0]" (rect 169 43 211 55)(font "Arial" )) (text "mul2[15..0]" (rect 161 43 203 55)(font "Arial" ))
(line (pt 232 48)(pt 216 48)(line_width 3)) (line (pt 224 48)(pt 208 48)(line_width 3))
) )
(port (port
(pt 232 64) (pt 224 64)
(output) (output)
(text "Rout[15..0]" (rect 0 0 43 12)(font "Arial" )) (text "Rout[15..0]" (rect 0 0 43 12)(font "Arial" ))
(text "Rout[15..0]" (rect 168 59 211 71)(font "Arial" )) (text "Rout[15..0]" (rect 160 59 203 71)(font "Arial" ))
(line (pt 232 64)(pt 216 64)(line_width 3)) (line (pt 224 64)(pt 208 64)(line_width 3))
) )
(port (port
(pt 232 80) (pt 224 80)
(output) (output)
(text "jump" (rect 0 0 18 12)(font "Arial" )) (text "jump" (rect 0 0 18 12)(font "Arial" ))
(text "jump" (rect 193 75 211 87)(font "Arial" )) (text "jump" (rect 185 75 203 87)(font "Arial" ))
(line (pt 232 80)(pt 216 80)(line_width 1)) (line (pt 224 80)(pt 208 80)(line_width 1))
) )
(port (port
(pt 232 96) (pt 224 96)
(output)
(text "carry" (rect 0 0 22 12)(font "Arial" ))
(text "carry" (rect 189 91 211 103)(font "Arial" ))
(line (pt 232 96)(pt 216 96)(line_width 1))
)
(port
(pt 232 112)
(output)
(text "jumpflags[7..0]" (rect 0 0 57 12)(font "Arial" ))
(text "jumpflags[7..0]" (rect 154 107 211 119)(font "Arial" ))
(line (pt 232 112)(pt 216 112)(line_width 3))
)
(port
(pt 232 128)
(output) (output)
(text "memaddr[10..0]" (rect 0 0 62 12)(font "Arial" )) (text "memaddr[10..0]" (rect 0 0 62 12)(font "Arial" ))
(text "memaddr[10..0]" (rect 149 123 211 135)(font "Arial" )) (text "memaddr[10..0]" (rect 141 91 203 103)(font "Arial" ))
(line (pt 232 128)(pt 216 128)(line_width 3)) (line (pt 224 96)(pt 208 96)(line_width 3))
) )
(drawing (drawing
(rectangle (rect 16 16 216 192)(line_width 1)) (rectangle (rect 16 16 208 160)(line_width 1))
) )
) )