cordic/README.md
2023-05-28 16:24:06 +01:00

224 B

cordic

SystemVerilog CORDIC block that converts from an input phase (sawtooth wave) to a sine wave

cordic.sv

Input: 0-65535 (16 bit) = $0$-\frac{\pi}{2} radians or $0$-$90$°

Output: 0-65535 (16 bit) = $0$-1