cordic/README.md

10 lines
224 B
Markdown
Raw Permalink Normal View History

2023-05-28 15:01:36 +00:00
# cordic
2023-05-28 15:24:06 +00:00
2023-05-28 15:01:36 +00:00
SystemVerilog CORDIC block that converts from an input phase (sawtooth wave) to a sine wave
2023-05-28 15:24:06 +00:00
## cordic.sv
Input: 0-65535 (16 bit) = $0$-$\frac{\pi}{2}$ radians or $0$-$90$°
Output: 0-65535 (16 bit) = $0$-$1$