Update harvard testbench regarding resets

This commit is contained in:
jl7719 2020-12-16 16:59:28 +00:00
parent 1f7027f771
commit ec275418b7

View file

@ -49,15 +49,8 @@ module mips_cpu_harvard_tb;
end
initial begin
$display("Initial Reset 0");
reset <= 0;
$display("Initial Reset 1");
@(posedge clk);
reset <= 1;
$display("Initial Reset 0: Start Program");
reset <= 1;
@(posedge clk);
reset <= 0;