From ec275418b759e85253cda77b1f4cd9765d78f9cb Mon Sep 17 00:00:00 2001 From: jl7719 Date: Wed, 16 Dec 2020 16:59:28 +0000 Subject: [PATCH] Update harvard testbench regarding resets --- testbench/mips_cpu_harvard_tb.v | 9 +-------- 1 file changed, 1 insertion(+), 8 deletions(-) diff --git a/testbench/mips_cpu_harvard_tb.v b/testbench/mips_cpu_harvard_tb.v index 34a5111..624f9c5 100644 --- a/testbench/mips_cpu_harvard_tb.v +++ b/testbench/mips_cpu_harvard_tb.v @@ -49,15 +49,8 @@ module mips_cpu_harvard_tb; end initial begin - $display("Initial Reset 0"); - reset <= 0; - - - $display("Initial Reset 1"); - @(posedge clk); - reset <= 1; - $display("Initial Reset 0: Start Program"); + reset <= 1; @(posedge clk); reset <= 0;