Merge branch 'main' of https://github.com/supleed2/AM04_CPU into main

This commit is contained in:
jl7719 2020-12-04 23:45:16 +09:00
commit 56b5b1aa89

View file

@ -27,7 +27,7 @@ always_comb begin
readdata2 = memory[readreg2]; //combinatorially output register value based on read port 2 selector
end
always_ff @(posedge clk) begin
always_ff @(negedge clk) begin
if (regwrite) begin
case (opcode)
6'b100000: begin //lb, load byte