ELEC40006-P1-CW/min.v.bak

13 lines
130 B
Coq

module MIN(
input sign,
input a[7..0],
input b[7..0],
output [7..0] num;
);
assign num = sign ? a[7..0]:b[7..0]
endmodule