diff --git a/Cargo.lock b/Cargo.lock index 118400d..191d529 100644 --- a/Cargo.lock +++ b/Cargo.lock @@ -34,13 +34,6 @@ name = "regex-syntax" version = "0.6.13" source = "registry+https://github.com/rust-lang/crates.io-index" -[[package]] -name = "sv-filelist-parser" -version = "0.1.0" -dependencies = [ - "regex 1.3.3 (registry+https://github.com/rust-lang/crates.io-index)", -] - [[package]] name = "thread_local" version = "1.0.1" @@ -49,6 +42,13 @@ dependencies = [ "lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)", ] +[[package]] +name = "verilog-filelist-parser" +version = "0.1.0" +dependencies = [ + "regex 1.3.3 (registry+https://github.com/rust-lang/crates.io-index)", +] + [metadata] "checksum aho-corasick 0.7.6 (registry+https://github.com/rust-lang/crates.io-index)" = "58fb5e95d83b38284460a5fda7d6470aa0b8844d283a0b614b8535e880800d2d" "checksum lazy_static 1.4.0 (registry+https://github.com/rust-lang/crates.io-index)" = "e2abad23fbc42b3700f2f279844dc832adb2b2eb069b2df918f455c4e18cc646" diff --git a/Cargo.toml b/Cargo.toml index dab15b3..03632af 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -1,5 +1,5 @@ [package] -name = "sv-filelist-parser" +name = "verilog-filelist-parser" version = "0.1.0" authors = ["Raamakrishnan "] edition = "2018" diff --git a/README.md b/README.md index 4ca9c33..46d253d 100644 --- a/README.md +++ b/README.md @@ -1,3 +1,3 @@ -# sv-filelist-parser +# verilog-filelist-parser A Verilog Filelist parser in Rust \ No newline at end of file diff --git a/src/main.rs b/src/main.rs deleted file mode 100644 index 559fcf8..0000000 --- a/src/main.rs +++ /dev/null @@ -1,11 +0,0 @@ -use std::env; -use std::fs; -use std::path::PathBuf; -use sv_filelist_parser; - -fn main() { - let args: Vec = env::args().collect(); - - let filelist = sv_filelist_parser::parse_file(&args[1]).expect("Error parsing"); - println!("{:#?}", filelist); -} diff --git a/tests/integration_tests.rs b/tests/integration_tests.rs index 678fbef..15b4c63 100644 --- a/tests/integration_tests.rs +++ b/tests/integration_tests.rs @@ -1,6 +1,6 @@ use std::collections::HashMap; use std::path::PathBuf; -use sv_filelist_parser; +use verilog_filelist_parser; #[test] fn simple_test() { @@ -12,7 +12,7 @@ fn simple_test() { defines.insert("ENV_VAR2".to_string(), Some("var2".to_string())); defines.insert("RTL".to_string(), None); - let filelist_exp = sv_filelist_parser::Filelist { + let filelist_exp = verilog_filelist_parser::Filelist { files: vec![ PathBuf::from("testcase/file1.sv"), PathBuf::from("testcase/file2.sv"), @@ -29,6 +29,6 @@ fn simple_test() { std::env::set_var("VAR1", "ENV_VAR1"); std::env::set_var("VAR2", "ENV_VAR2"); - let filelist = sv_filelist_parser::parse_file("testcase/files.f").expect("Error parsing"); + let filelist = verilog_filelist_parser::parse_file("testcase/files.f").expect("Error parsing"); assert_eq!(filelist_exp, filelist); }