Coverage Report by file with details ================================================================================= === File: rtl/AHB_VGA/AHBVGASYS.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 25 23 2 92.00 ================================Statement Details================================ Statement Coverage for file rtl/AHB_VGA/AHBVGASYS.sv -- 1 ////////////////////////////////////////////////////////////////////////////////// 2 //END USER LICENCE AGREEMENT // 3 // // 4 //Copyright (c) 2012, ARM All rights reserved. // 5 // // 6 //THIS END USER LICENCE AGREEMENT (“LICENCE”) IS A LEGAL AGREEMENT BETWEEN // 7 //YOU AND ARM LIMITED ("ARM") FOR THE USE OF THE SOFTWARE EXAMPLE ACCOMPANYING // 8 //THIS LICENCE. ARM IS ONLY WILLING TO LICENSE THE SOFTWARE EXAMPLE TO YOU ON // 9 //CONDITION THAT YOU ACCEPT ALL OF THE TERMS IN THIS LICENCE. BY INSTALLING OR // 10 //OTHERWISE USING OR COPYING THE SOFTWARE EXAMPLE YOU INDICATE THAT YOU AGREE // 11 //TO BE BOUND BY ALL OF THE TERMS OF THIS LICENCE. IF YOU DO NOT AGREE TO THE // 12 //TERMS OF THIS LICENCE, ARM IS UNWILLING TO LICENSE THE SOFTWARE EXAMPLE TO // 13 //YOU AND YOU MAY NOT INSTALL, USE OR COPY THE SOFTWARE EXAMPLE. // 14 // // 15 //ARM hereby grants to you, subject to the terms and conditions of this Licence,// 16 //a non-exclusive, worldwide, non-transferable, copyright licence only to // 17 //redistribute and use in source and binary forms, with or without modification,// 18 //for academic purposes provided the following conditions are met: // 19 //a) Redistributions of source code must retain the above copyright notice, this// 20 //list of conditions and the following disclaimer. // 21 //b) Redistributions in binary form must reproduce the above copyright notice, // 22 //this list of conditions and the following disclaimer in the documentation // 23 //and/or other materials provided with the distribution. // 24 // // 25 //THIS SOFTWARE EXAMPLE IS PROVIDED BY THE COPYRIGHT HOLDER "AS IS" AND ARM // 26 //EXPRESSLY DISCLAIMS ANY AND ALL WARRANTIES, EXPRESS OR IMPLIED, INCLUDING // 27 //WITHOUT LIMITATION WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR // 28 //PURPOSE, WITH RESPECT TO THIS SOFTWARE EXAMPLE. IN NO EVENT SHALL ARM BE LIABLE/ 29 //FOR ANY DIRECT, INDIRECT, INCIDENTAL, PUNITIVE, OR CONSEQUENTIAL DAMAGES OF ANY/ 30 //KIND WHATSOEVER WITH RESPECT TO THE SOFTWARE EXAMPLE. ARM SHALL NOT BE LIABLE // 31 //FOR ANY CLAIMS, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, // 32 //TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE // 33 //EXAMPLE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE EXAMPLE. FOR THE AVOIDANCE/ 34 // OF DOUBT, NO PATENT LICENSES ARE BEING LICENSED UNDER THIS LICENSE AGREEMENT.// 35 ////////////////////////////////////////////////////////////////////////////////// 36 37 38 module AHBVGA( 39 input wire HCLK, 40 input wire HRESETn, 41 input wire [31:0] HADDR, 42 input wire [31:0] HWDATA, 43 input wire HREADY, 44 input wire HWRITE, 45 input wire [1:0] HTRANS, 46 input wire HSEL, 47 48 output wire [31:0] HRDATA, 49 output wire HREADYOUT, 50 51 output wire HSYNC, 52 output wire VSYNC, 53 output wire [7:0] RGB 54 ); 55 //Register locations 56 localparam IMAGEADDR = 4'hA; 57 localparam CONSOLEADDR = 4'h0; 58 59 //Internal AHB signals 60 reg last_HWRITE; 61 reg last_HSEL; 62 reg [1:0] last_HTRANS; 63 reg [31:0] last_HADDR; 64 65 wire [7:0] console_rgb; //console rgb signal 66 wire [9:0] pixel_x; //current x pixel 67 wire [9:0] pixel_y; //current y pixel 68 69 reg console_write; //write to console 70 reg [7:0] console_wdata;//data to write to console 71 reg image_write; //write to image 72 reg [7:0] image_wdata; //data to write to image 73 74 wire [7:0] image_rgb; //image color 75 76 wire scroll; //scrolling signal 77 78 wire sel_console; 79 wire sel_image; 80 reg [7:0] cin; 81 82 83 1 91 always @(posedge HCLK) 84 if(HREADY) 85 begin 86 1 89 last_HADDR <= HADDR; 87 1 89 last_HWRITE <= HWRITE; 88 1 89 last_HSEL <= HSEL; 89 1 89 last_HTRANS <= HTRANS; 90 end 91 92 //Give time for the screen to refresh before writing 93 assign HREADYOUT = ~scroll; 94 95 //VGA interface: control the synchronization and color signals for a particular resolution 96 VGAInterface uVGAInterface ( 97 .CLK(HCLK), 98 .resetn(HRESETn), 99 .COLOUR_IN(cin), 100 .cout(RGB), 101 .hs(HSYNC), 102 .vs(VSYNC), 103 .addrh(pixel_x), 104 .addrv(pixel_y) 105 ); 106 107 //VGA console module: output the pixels in the text region 108 vga_console uvga_console( 109 .clk(HCLK), 110 .resetn(HRESETn), 111 .pixel_x(pixel_x), 112 .pixel_y(pixel_y), 113 .text_rgb(console_rgb), 114 .font_we(console_write), 115 .font_data(console_wdata), 116 .scroll(scroll) 117 ); 118 119 //VGA image buffer: output the pixels in the image region 120 vga_image uvga_image( 121 .clk(HCLK), 122 .resetn(HRESETn), 123 .address(last_HADDR[15:2]), 124 .pixel_x(pixel_x), 125 .pixel_y(pixel_y), 126 .image_we(image_write), 127 .image_data(image_wdata), 128 .image_rgb(image_rgb) 129 ); 130 131 1 2 assign sel_console = (last_HADDR[23:0]== 12'h000000000000); 132 1 2 assign sel_image = (last_HADDR[23:0] != 12'h000000000000); 133 134 //Set console write and write data 135 1 93 always @(posedge HCLK, negedge HRESETn) 136 begin 137 if(!HRESETn) 138 begin 139 1 2 console_write <= 0; 140 1 2 console_wdata <= 0; 141 end 142 else if(last_HWRITE & last_HSEL & last_HTRANS[1] & HREADYOUT & sel_console) 143 begin 144 1 30 console_write <= 1'b1; 145 1 30 console_wdata <= HWDATA[7:0]; 146 end 147 else 148 begin 149 1 61 console_write <= 1'b0; 150 1 61 console_wdata <= 0; 151 end 152 end 153 154 //Set image write and image write data 155 1 63 always @(posedge HCLK, negedge HRESETn) 156 begin 157 if(!HRESETn) 158 begin 159 1 2 image_write <= 0; 160 1 2 image_wdata <= 0; 161 end 162 else if(last_HWRITE & last_HSEL & last_HTRANS[1] & HREADYOUT & sel_image) 163 begin 164 1 ***0*** image_write <= 1'b1; 165 1 ***0*** image_wdata <= HWDATA[7:0]; 166 end 167 else 168 begin 169 1 61 image_write <= 1'b0; 170 1 61 image_wdata <= 0; 171 end 172 end 173 174 //Select the rgb color for a particular region 175 1 337541 always @* 176 begin 177 if(!HRESETn) 178 1 3 cin <= 8'h00; 179 else 180 if(pixel_x[9:0]< 240 ) 181 1 126538 cin <= console_rgb ; 182 else 183 1 211000 cin <= image_rgb; 184 end 185 186 endmodule 187 188 Branch Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Branches 11 10 1 90.90 ================================Branch Details================================ Branch Coverage for file rtl/AHB_VGA/AHBVGASYS.sv -- ------------------------------------IF Branch------------------------------------ 84 91 Count coming in to IF 84 1 89 if(HREADY) 2 All False Count Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 137 93 Count coming in to IF 137 1 2 if(!HRESETn) 142 1 30 else if(last_HWRITE & last_HSEL & last_HTRANS[1] & HREADYOUT & sel_console) 147 1 61 else Branch totals: 3 hits of 3 branches = 100.00% ------------------------------------IF Branch------------------------------------ 157 63 Count coming in to IF 157 1 2 if(!HRESETn) 162 1 ***0*** else if(last_HWRITE & last_HSEL & last_HTRANS[1] & HREADYOUT & sel_image) 167 1 61 else Branch totals: 2 hits of 3 branches = 66.66% ------------------------------------IF Branch------------------------------------ 177 337541 Count coming in to IF 177 1 3 if(!HRESETn) 180 1 126538 if(pixel_x[9:0]< 240 ) 182 1 211000 else Branch totals: 3 hits of 3 branches = 100.00% Condition Coverage: Enabled Coverage Active Covered Misses % Covered ---------------- ------ ---- ------ --------- FEC Condition Terms 11 2 9 18.18 ================================Condition Details================================ Condition Coverage for file rtl/AHB_VGA/AHBVGASYS.sv -- ----------------Focused Condition View------------------- Line 142 Item 1 ((((last_HWRITE & last_HSEL) & last_HTRANS[1]) & HREADYOUT) & sel_console) Condition totals: 1 of 5 input terms covered = 20.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- last_HWRITE Y last_HSEL N '_0' not hit Hit '_0' last_HTRANS[1] N '_0' not hit Hit '_0' HREADYOUT N '_0' not hit Hit '_0' sel_console N '_0' not hit Hit '_0' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 last_HWRITE_0 (sel_console && HREADYOUT && last_HTRANS[1] && last_HSEL) Row 2: 1 last_HWRITE_1 (sel_console && HREADYOUT && last_HTRANS[1] && last_HSEL) Row 3: ***0*** last_HSEL_0 (sel_console && HREADYOUT && last_HTRANS[1] && last_HWRITE) Row 4: 1 last_HSEL_1 (sel_console && HREADYOUT && last_HTRANS[1] && last_HWRITE) Row 5: ***0*** last_HTRANS[1]_0 (sel_console && HREADYOUT && (last_HWRITE & last_HSEL)) Row 6: 1 last_HTRANS[1]_1 (sel_console && HREADYOUT && (last_HWRITE & last_HSEL)) Row 7: ***0*** HREADYOUT_0 (sel_console && ((last_HWRITE & last_HSEL) & last_HTRANS[1])) Row 8: 1 HREADYOUT_1 (sel_console && ((last_HWRITE & last_HSEL) & last_HTRANS[1])) Row 9: ***0*** sel_console_0 (((last_HWRITE & last_HSEL) & last_HTRANS[1]) & HREADYOUT) Row 10: 1 sel_console_1 (((last_HWRITE & last_HSEL) & last_HTRANS[1]) & HREADYOUT) ----------------Focused Condition View------------------- Line 162 Item 1 ((((last_HWRITE & last_HSEL) & last_HTRANS[1]) & HREADYOUT) & sel_image) Condition totals: 0 of 5 input terms covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- last_HWRITE N No hits Hit '_0' and '_1' last_HSEL N No hits Hit '_0' and '_1' last_HTRANS[1] N No hits Hit '_0' and '_1' HREADYOUT N No hits Hit '_0' and '_1' sel_image N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: ***0*** last_HWRITE_0 (sel_image && HREADYOUT && last_HTRANS[1] && last_HSEL) Row 2: ***0*** last_HWRITE_1 (sel_image && HREADYOUT && last_HTRANS[1] && last_HSEL) Row 3: ***0*** last_HSEL_0 (sel_image && HREADYOUT && last_HTRANS[1] && last_HWRITE) Row 4: ***0*** last_HSEL_1 (sel_image && HREADYOUT && last_HTRANS[1] && last_HWRITE) Row 5: ***0*** last_HTRANS[1]_0 (sel_image && HREADYOUT && (last_HWRITE & last_HSEL)) Row 6: ***0*** last_HTRANS[1]_1 (sel_image && HREADYOUT && (last_HWRITE & last_HSEL)) Row 7: ***0*** HREADYOUT_0 (sel_image && ((last_HWRITE & last_HSEL) & last_HTRANS[1])) Row 8: ***0*** HREADYOUT_1 (sel_image && ((last_HWRITE & last_HSEL) & last_HTRANS[1])) Row 9: 1 sel_image_0 (((last_HWRITE & last_HSEL) & last_HTRANS[1]) & HREADYOUT) Row 10: ***0*** sel_image_1 (((last_HWRITE & last_HSEL) & last_HTRANS[1]) & HREADYOUT) ----------------Focused Condition View------------------- Line 180 Item 1 (pixel_x < 240) Condition totals: 1 of 1 input term covered = 100.00% Expression Coverage: Enabled Coverage Active Covered Misses % Covered ---------------- ------ ---- ------ --------- FEC Expression Terms 2 0 2 0.00 ================================Expression Details================================ Expression Coverage for file rtl/AHB_VGA/AHBVGASYS.sv -- ----------------Focused Expression View----------------- Line 131 Item 1 (last_HADDR[23:0] == 0) Expression totals: 0 of 1 input term covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- (last_HADDR[23:0] == 0) N '_0' not hit Hit '_0' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: ***0*** (last_HADDR[23:0] == 0)_0 - Row 2: 1 (last_HADDR[23:0] == 0)_1 - ----------------Focused Expression View----------------- Line 132 Item 1 (last_HADDR[23:0] != 0) Expression totals: 0 of 1 input term covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- (last_HADDR[23:0] != 0) N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 (last_HADDR[23:0] != 0)_0 - Row 2: ***0*** (last_HADDR[23:0] != 0)_1 - Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 430 102 328 23.72 ================================Toggle Details================================ Toggle Coverage for File rtl/AHB_VGA/AHBVGASYS.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- 40 HRESETn 0 1 50.00 41 HADDR[9] 0 0 0.00 41 HADDR[8] 0 0 0.00 41 HADDR[7] 0 0 0.00 41 HADDR[6] 0 0 0.00 41 HADDR[5] 0 0 0.00 41 HADDR[4] 0 0 0.00 41 HADDR[3] 0 0 0.00 41 HADDR[31] 0 0 0.00 41 HADDR[30] 1 0 50.00 41 HADDR[2] 0 0 0.00 41 HADDR[29] 0 0 0.00 41 HADDR[28] 1 0 50.00 41 HADDR[27] 0 0 0.00 41 HADDR[26] 0 0 0.00 41 HADDR[25] 0 0 0.00 41 HADDR[24] 0 0 0.00 41 HADDR[23] 0 0 0.00 41 HADDR[22] 0 0 0.00 41 HADDR[21] 0 0 0.00 41 HADDR[20] 0 0 0.00 41 HADDR[1] 0 0 0.00 41 HADDR[19] 0 0 0.00 41 HADDR[18] 0 0 0.00 41 HADDR[17] 0 0 0.00 41 HADDR[16] 0 0 0.00 41 HADDR[15] 0 0 0.00 41 HADDR[14] 0 0 0.00 41 HADDR[13] 0 0 0.00 41 HADDR[12] 0 0 0.00 41 HADDR[11] 0 0 0.00 41 HADDR[10] 0 0 0.00 41 HADDR[0] 0 0 0.00 42 HWDATA[9] 0 0 0.00 42 HWDATA[8] 0 0 0.00 42 HWDATA[7] 0 0 0.00 42 HWDATA[31] 0 0 0.00 42 HWDATA[30] 0 0 0.00 42 HWDATA[29] 0 0 0.00 42 HWDATA[28] 0 0 0.00 42 HWDATA[27] 0 0 0.00 42 HWDATA[26] 0 0 0.00 42 HWDATA[25] 0 0 0.00 42 HWDATA[24] 0 0 0.00 42 HWDATA[23] 0 0 0.00 42 HWDATA[22] 0 0 0.00 42 HWDATA[21] 0 0 0.00 42 HWDATA[20] 0 0 0.00 42 HWDATA[19] 0 0 0.00 42 HWDATA[18] 0 0 0.00 42 HWDATA[17] 0 0 0.00 42 HWDATA[16] 0 0 0.00 42 HWDATA[15] 0 0 0.00 42 HWDATA[14] 0 0 0.00 42 HWDATA[13] 0 0 0.00 42 HWDATA[12] 0 0 0.00 42 HWDATA[11] 0 0 0.00 42 HWDATA[10] 0 0 0.00 43 HREADY 1 0 50.00 45 HTRANS[1] 1 0 50.00 45 HTRANS[0] 0 0 0.00 46 HSEL 1 0 50.00 48 HRDATA[9] 0 0 0.00 48 HRDATA[8] 0 0 0.00 48 HRDATA[7] 0 0 0.00 48 HRDATA[6] 0 0 0.00 48 HRDATA[5] 0 0 0.00 48 HRDATA[4] 0 0 0.00 48 HRDATA[3] 0 0 0.00 48 HRDATA[31] 0 0 0.00 48 HRDATA[30] 0 0 0.00 48 HRDATA[2] 0 0 0.00 48 HRDATA[29] 0 0 0.00 48 HRDATA[28] 0 0 0.00 48 HRDATA[27] 0 0 0.00 48 HRDATA[26] 0 0 0.00 48 HRDATA[25] 0 0 0.00 48 HRDATA[24] 0 0 0.00 48 HRDATA[23] 0 0 0.00 48 HRDATA[22] 0 0 0.00 48 HRDATA[21] 0 0 0.00 48 HRDATA[20] 0 0 0.00 48 HRDATA[1] 0 0 0.00 48 HRDATA[19] 0 0 0.00 48 HRDATA[18] 0 0 0.00 48 HRDATA[17] 0 0 0.00 48 HRDATA[16] 0 0 0.00 48 HRDATA[15] 0 0 0.00 48 HRDATA[14] 0 0 0.00 48 HRDATA[13] 0 0 0.00 48 HRDATA[12] 0 0 0.00 48 HRDATA[11] 0 0 0.00 48 HRDATA[10] 0 0 0.00 48 HRDATA[0] 0 0 0.00 49 HREADYOUT 0 0 0.00 53 RGB[7] 0 0 0.00 53 RGB[6] 0 0 0.00 53 RGB[5] 0 0 0.00 53 RGB[1] 0 0 0.00 53 RGB[0] 0 0 0.00 61 last_HSEL 0 0 0.00 62 last_HTRANS[1] 0 0 0.00 62 last_HTRANS[0] 0 0 0.00 63 last_HADDR[9] 0 0 0.00 63 last_HADDR[8] 0 0 0.00 63 last_HADDR[7] 0 0 0.00 63 last_HADDR[6] 0 0 0.00 63 last_HADDR[5] 0 0 0.00 63 last_HADDR[4] 0 0 0.00 63 last_HADDR[3] 0 0 0.00 63 last_HADDR[31] 0 0 0.00 63 last_HADDR[30] 0 0 0.00 63 last_HADDR[2] 0 0 0.00 63 last_HADDR[29] 0 0 0.00 63 last_HADDR[28] 0 0 0.00 63 last_HADDR[27] 0 0 0.00 63 last_HADDR[26] 0 0 0.00 63 last_HADDR[25] 0 0 0.00 63 last_HADDR[24] 0 0 0.00 63 last_HADDR[23] 0 0 0.00 63 last_HADDR[22] 0 0 0.00 63 last_HADDR[21] 0 0 0.00 63 last_HADDR[20] 0 0 0.00 63 last_HADDR[1] 0 0 0.00 63 last_HADDR[19] 0 0 0.00 63 last_HADDR[18] 0 0 0.00 63 last_HADDR[17] 0 0 0.00 63 last_HADDR[16] 0 0 0.00 63 last_HADDR[15] 0 0 0.00 63 last_HADDR[14] 0 0 0.00 63 last_HADDR[13] 0 0 0.00 63 last_HADDR[12] 0 0 0.00 63 last_HADDR[11] 0 0 0.00 63 last_HADDR[10] 0 0 0.00 63 last_HADDR[0] 0 0 0.00 65 console_rgb[7] 0 0 0.00 65 console_rgb[6] 0 0 0.00 65 console_rgb[5] 0 0 0.00 65 console_rgb[1] 0 0 0.00 65 console_rgb[0] 0 0 0.00 67 pixel_y[9] 0 0 0.00 70 console_wdata[7] 0 0 0.00 71 image_write 0 0 0.00 72 image_wdata[7] 0 0 0.00 72 image_wdata[6] 0 0 0.00 72 image_wdata[5] 0 0 0.00 72 image_wdata[4] 0 0 0.00 72 image_wdata[3] 0 0 0.00 72 image_wdata[2] 0 0 0.00 72 image_wdata[1] 0 0 0.00 72 image_wdata[0] 0 0 0.00 74 image_rgb[7] 0 0 0.00 74 image_rgb[6] 0 0 0.00 74 image_rgb[5] 0 0 0.00 74 image_rgb[4] 0 0 0.00 74 image_rgb[3] 0 0 0.00 74 image_rgb[2] 0 0 0.00 74 image_rgb[1] 0 0 0.00 74 image_rgb[0] 0 0 0.00 76 scroll 0 0 0.00 78 sel_console 0 0 0.00 79 sel_image 0 0 0.00 80 cin[7] 0 0 0.00 80 cin[6] 0 0 0.00 80 cin[5] 0 0 0.00 80 cin[1] 0 0 0.00 80 cin[0] 0 0 0.00 Total Node Count = 215 Toggled Node Count = 48 Untoggled Node Count = 167 Toggle Coverage = 23.72% (102 of 430 bins) ================================================================================= === File: rtl/AHB_VGA/ahb_vgasys_checker.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 30 30 0 100.00 ================================Statement Details================================ Statement Coverage for file rtl/AHB_VGA/ahb_vgasys_checker.sv -- 1 module ahb_vgasys_checker( 2 input wire HCLK, 3 input wire HRESETn, 4 input wire [31:0] HADDR, 5 input wire [31:0] HWDATA, 6 input wire HREADY, 7 input wire HWRITE, 8 input wire [1:0] HTRANS, 9 input wire HSEL, 10 input wire [31:0] HRDATA, 11 input wire HREADYOUT, 12 input wire HSYNC, 13 input wire VSYNC, 14 input wire [7:0] RGB, 15 output wire [7:0] checker_rgb_out 16 ); 17 import ahb_vga_font_map::*; 18 // NOTE: Due to a BUG in the VGA module, the first 2 pixels for each row in the visible region is invalid, the actual image starts 2 cycles later 19 localparam text_region_x_min = 48 + 2; 20 localparam text_region_x_max = text_region_x_min + 240 - 2; 21 localparam text_region_y_min = 29; 22 localparam text_region_y_max = text_region_y_min + 480; 23 localparam character_width = 8; 24 localparam character_height = 16; 25 localparam characters_per_row = 240/character_width; 26 localparam characters_per_col = 480/character_height; 27 28 logic [31:0] counter; 29 logic countup; 30 int pixel_x; 31 int pixel_y; 32 //local coords within the visible text region 33 int frame_x; 34 int frame_y; 35 //local coords within the tile 36 logic [2:0] character_x; 37 logic [3:0] character_y; 38 39 logic [7:0] checker_rgb; 40 logic [7:0] character_buffer[characters_per_row*characters_per_col]; 41 string console_text_reg; 42 43 int hcounter; 44 int vcounter; 45 46 47 function logic inTextRegion(int x, int y); 48 1 734786 return (x >= text_region_x_min && x <= text_region_x_max) && (y >= text_region_y_min && y <= text_region_y_max); 49 endfunction 50 51 function int getStringIndex(int x, int y); 52 1 120703 return (((y/character_height)*characters_per_row)+ (x/character_width)); 53 endfunction 54 55 function logic[7:0] getRGBvalue(logic[2:0] x, logic[3:0] y, int char_index); 56 1 5744 return font_lookup({char_index, y})[7-x] ? 8'h1c : 8'h00; 57 endfunction 58 59 1 839453 always_ff @(posedge HCLK) 60 begin 61 if(!HRESETn || !VSYNC) 62 begin 63 1 6413 pixel_x <= 0; 64 1 6413 pixel_y <= 0; 65 if(!HRESETn) 66 1 2 console_text_reg <= ""; 67 1 6413 counter <= 0; 68 1 6413 countup <= 0; 69 end 70 else 71 begin 72 1 833040 countup <= countup + 1; 73 if($past(HSEL && HREADY && HWRITE && (HADDR[23:0]== 12'h000000000000),1) && HREADYOUT) 74 begin 75 1 30 console_text_reg <= {console_text_reg,font_map[HWDATA]}; 76 1 30 character_buffer[counter] <= HWDATA[7:0]; 77 1 30 counter <= counter+1; 78 end 79 if($fell(vgaif.HSYNC)) 80 begin 81 1 520 pixel_x <= 0; 82 1 520 pixel_y <= pixel_y + 1; 83 end 84 else 85 begin 86 if(vgaif.HSYNC) 87 if(countup) 88 begin 89 1 366600 pixel_x <= pixel_x + 1; 90 end 91 end 92 end 93 end 94 95 1 839453 always_ff @(posedge HCLK) 96 begin 97 if(!HRESETn) 98 begin 99 1 2 hcounter <= 0; 100 1 2 vcounter <= 0; 101 end 102 else 103 begin 104 if($fell(VSYNC)) 105 1 2 vcounter <= 0; 106 else 107 if($fell(HSYNC)) 108 1 524 vcounter <= vcounter + 1; 109 if($fell(HSYNC)) 110 1 525 hcounter <= 0; 111 else 112 1 838926 hcounter <= hcounter + 1; 113 end 114 end 115 116 1 367182 always_comb 117 begin 118 1 367182 checker_rgb = 0; 119 1 367182 frame_x = pixel_x - text_region_x_min; 120 1 367182 frame_y = pixel_y - text_region_y_min; 121 1 367182 character_x = frame_x % character_width; 122 1 367182 character_y = frame_y % character_height; 123 if(inTextRegion(pixel_x,pixel_y)) 124 begin 125 if(getStringIndex(frame_x,frame_y) < console_text_reg.len()) 126 begin 127 1 5744 checker_rgb = getRGBvalue(character_x,character_y,character_buffer[getStringIndex(frame_x,frame_y)]); 128 //$display("char: %s, x: 0x%0h, y: 0x%0h",console_text_reg.substr(getStringIndex(frame_x,frame_y),getStringIndex(frame_x,frame_y)), frame_x, frame_y); 129 end 130 end 131 end 132 133 assign checker_rgb_out = checker_rgb; 134 135 //assertions 136 assert_text_region: assert property 137 ( 138 @(posedge HCLK) disable iff (!HRESETn) 139 (inTextRegion(pixel_x,pixel_y) && (frame_x > 1)) -> (checker_rgb == RGB) 140 ); 141 142 assert_vsync_pulse_timer: assert property 143 ( 144 @(posedge HCLK) disable iff (!HRESETn) 145 $rose(VSYNC) -> (($past(vcounter,1) == 8'h1) || (vcounter == '0)) 146 ); 147 148 assert_hsync_pulse_timer: assert property 149 ( 150 @(posedge HCLK) disable iff (!HRESETn) 151 ($rose(HSYNC) && !$rose(VSYNC) && VSYNC) -> ($past(hcounter,1)/2 == 8'd95) 152 ); 153 154 assert_line_timer: assert property 155 ( 156 @(posedge HCLK) disable iff (!HRESETn) 157 ($fell(HSYNC) && !$rose(VSYNC) && VSYNC) -> ($past(hcounter,1)/2 == 800) 158 ); 159 160 assert_frame_timer: assert property 161 ( 162 @(posedge HCLK) disable iff (!HRESETn) 163 $fell(VSYNC) -> (($past(vcounter,1) == (32'd480 + 32'd10 + 32'd2 + 32'd29)) || (vcounter == '0)) 164 ); 165 166 endmodule Branch Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Branches 25 25 0 100.00 ================================Branch Details================================ Branch Coverage for file rtl/AHB_VGA/ahb_vgasys_checker.sv -- ------------------------------------IF Branch------------------------------------ 56 5744 Count coming in to IF 56 1 913 return font_lookup({char_index, y})[7-x] ? 8'h1c : 8'h00; 56 2 4831 return font_lookup({char_index, y})[7-x] ? 8'h1c : 8'h00; Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 61 839453 Count coming in to IF 61 1 6413 if(!HRESETn || !VSYNC) 70 1 833040 else Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 65 6413 Count coming in to IF 65 1 2 if(!HRESETn) 6411 All False Count Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 73 833040 Count coming in to IF 73 1 30 if($past(HSEL && HREADY && HWRITE && (HADDR[23:0]== 12'h000000000000),1) && HREADYOUT) 833010 All False Count Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 79 833040 Count coming in to IF 79 1 520 if($fell(vgaif.HSYNC)) 84 1 832520 else Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 86 832520 Count coming in to IF 86 1 733200 if(vgaif.HSYNC) 99320 All False Count Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 87 733200 Count coming in to IF 87 1 366600 if(countup) 366600 All False Count Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 97 839453 Count coming in to IF 97 1 2 if(!HRESETn) 102 1 839451 else Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 104 839451 Count coming in to IF 104 1 2 if($fell(VSYNC)) 107 1 524 if($fell(HSYNC)) 838925 All False Count Branch totals: 3 hits of 3 branches = 100.00% ------------------------------------IF Branch------------------------------------ 109 839451 Count coming in to IF 109 1 525 if($fell(HSYNC)) 111 1 838926 else Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 123 367182 Count coming in to IF 123 1 114959 if(inTextRegion(pixel_x,pixel_y)) 252223 All False Count Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 125 114959 Count coming in to IF 125 1 5744 if(getStringIndex(frame_x,frame_y) < console_text_reg.len()) 109215 All False Count Branch totals: 2 hits of 2 branches = 100.00% Condition Coverage: Enabled Coverage Active Covered Misses % Covered ---------------- ------ ---- ------ --------- FEC Condition Terms 11 11 0 100.00 ================================Condition Details================================ Condition Coverage for file rtl/AHB_VGA/ahb_vgasys_checker.sv -- ----------------Focused Condition View------------------- Line 61 Item 1 (HRESETn ~& VSYNC) Condition totals: 2 of 2 input terms covered = 100.00% ----------------Focused Condition View------------------- Line 79 Item 1 ((vgaif.HSYNC === 1'b0) && ($past(vgaif.HSYNC) !== 1'b0)) Condition totals: 2 of 2 input terms covered = 100.00% ----------------Focused Condition View------------------- Line 104 Item 1 ((VSYNC === 1'b0) && ($past(VSYNC) !== 1'b0)) Condition totals: 2 of 2 input terms covered = 100.00% ----------------Focused Condition View------------------- Line 107 Item 1 ((HSYNC === 1'b0) && ($past(HSYNC) !== 1'b0)) Condition totals: 2 of 2 input terms covered = 100.00% ----------------Focused Condition View------------------- Line 109 Item 1 ((HSYNC === 1'b0) && ($past(HSYNC) !== 1'b0)) Condition totals: 2 of 2 input terms covered = 100.00% ----------------Focused Condition View------------------- Line 125 Item 1 (getStringIndex(frame_x,frame_y) < len(console_text_reg)) Condition totals: 1 of 1 input term covered = 100.00% Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 724 282 442 38.95 ================================Toggle Details================================ Toggle Coverage for File rtl/AHB_VGA/ahb_vgasys_checker.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- 3 HRESETn 0 1 50.00 4 HADDR[9] 0 0 0.00 4 HADDR[8] 0 0 0.00 4 HADDR[7] 0 0 0.00 4 HADDR[6] 0 0 0.00 4 HADDR[5] 0 0 0.00 4 HADDR[4] 0 0 0.00 4 HADDR[3] 0 0 0.00 4 HADDR[31] 0 0 0.00 4 HADDR[30] 1 0 50.00 4 HADDR[2] 0 0 0.00 4 HADDR[29] 0 0 0.00 4 HADDR[28] 1 0 50.00 4 HADDR[27] 0 0 0.00 4 HADDR[26] 0 0 0.00 4 HADDR[25] 0 0 0.00 4 HADDR[24] 0 0 0.00 4 HADDR[23] 0 0 0.00 4 HADDR[22] 0 0 0.00 4 HADDR[21] 0 0 0.00 4 HADDR[20] 0 0 0.00 4 HADDR[1] 0 0 0.00 4 HADDR[19] 0 0 0.00 4 HADDR[18] 0 0 0.00 4 HADDR[17] 0 0 0.00 4 HADDR[16] 0 0 0.00 4 HADDR[15] 0 0 0.00 4 HADDR[14] 0 0 0.00 4 HADDR[13] 0 0 0.00 4 HADDR[12] 0 0 0.00 4 HADDR[11] 0 0 0.00 4 HADDR[10] 0 0 0.00 4 HADDR[0] 0 0 0.00 5 HWDATA[9] 0 0 0.00 5 HWDATA[8] 0 0 0.00 5 HWDATA[7] 0 0 0.00 5 HWDATA[31] 0 0 0.00 5 HWDATA[30] 0 0 0.00 5 HWDATA[29] 0 0 0.00 5 HWDATA[28] 0 0 0.00 5 HWDATA[27] 0 0 0.00 5 HWDATA[26] 0 0 0.00 5 HWDATA[25] 0 0 0.00 5 HWDATA[24] 0 0 0.00 5 HWDATA[23] 0 0 0.00 5 HWDATA[22] 0 0 0.00 5 HWDATA[21] 0 0 0.00 5 HWDATA[20] 0 0 0.00 5 HWDATA[19] 0 0 0.00 5 HWDATA[18] 0 0 0.00 5 HWDATA[17] 0 0 0.00 5 HWDATA[16] 0 0 0.00 5 HWDATA[15] 0 0 0.00 5 HWDATA[14] 0 0 0.00 5 HWDATA[13] 0 0 0.00 5 HWDATA[12] 0 0 0.00 5 HWDATA[11] 0 0 0.00 5 HWDATA[10] 0 0 0.00 6 HREADY 1 0 50.00 8 HTRANS[1] 1 0 50.00 8 HTRANS[0] 0 0 0.00 9 HSEL 1 0 50.00 10 HRDATA[9] 0 0 0.00 10 HRDATA[8] 0 0 0.00 10 HRDATA[7] 0 0 0.00 10 HRDATA[6] 0 0 0.00 10 HRDATA[5] 0 0 0.00 10 HRDATA[4] 0 0 0.00 10 HRDATA[3] 0 0 0.00 10 HRDATA[31] 0 0 0.00 10 HRDATA[30] 0 0 0.00 10 HRDATA[2] 0 0 0.00 10 HRDATA[29] 0 0 0.00 10 HRDATA[28] 0 0 0.00 10 HRDATA[27] 0 0 0.00 10 HRDATA[26] 0 0 0.00 10 HRDATA[25] 0 0 0.00 10 HRDATA[24] 0 0 0.00 10 HRDATA[23] 0 0 0.00 10 HRDATA[22] 0 0 0.00 10 HRDATA[21] 0 0 0.00 10 HRDATA[20] 0 0 0.00 10 HRDATA[1] 0 0 0.00 10 HRDATA[19] 0 0 0.00 10 HRDATA[18] 0 0 0.00 10 HRDATA[17] 0 0 0.00 10 HRDATA[16] 0 0 0.00 10 HRDATA[15] 0 0 0.00 10 HRDATA[14] 0 0 0.00 10 HRDATA[13] 0 0 0.00 10 HRDATA[12] 0 0 0.00 10 HRDATA[11] 0 0 0.00 10 HRDATA[10] 0 0 0.00 10 HRDATA[0] 0 0 0.00 11 HREADYOUT 0 0 0.00 14 RGB[7] 0 0 0.00 14 RGB[6] 0 0 0.00 14 RGB[5] 0 0 0.00 14 RGB[1] 0 0 0.00 14 RGB[0] 0 0 0.00 15 checker_rgb_out[7] 0 0 0.00 15 checker_rgb_out[6] 0 0 0.00 15 checker_rgb_out[5] 0 0 0.00 15 checker_rgb_out[1] 0 0 0.00 15 checker_rgb_out[0] 0 0 0.00 28 counter[9] 0 0 0.00 28 counter[8] 0 0 0.00 28 counter[7] 0 0 0.00 28 counter[6] 0 0 0.00 28 counter[5] 0 0 0.00 28 counter[31] 0 0 0.00 28 counter[30] 0 0 0.00 28 counter[29] 0 0 0.00 28 counter[28] 0 0 0.00 28 counter[27] 0 0 0.00 28 counter[26] 0 0 0.00 28 counter[25] 0 0 0.00 28 counter[24] 0 0 0.00 28 counter[23] 0 0 0.00 28 counter[22] 0 0 0.00 28 counter[21] 0 0 0.00 28 counter[20] 0 0 0.00 28 counter[19] 0 0 0.00 28 counter[18] 0 0 0.00 28 counter[17] 0 0 0.00 28 counter[16] 0 0 0.00 28 counter[15] 0 0 0.00 28 counter[14] 0 0 0.00 28 counter[13] 0 0 0.00 28 counter[12] 0 0 0.00 28 counter[11] 0 0 0.00 28 counter[10] 0 0 0.00 30 pixel_x[31] 0 0 0.00 30 pixel_x[30] 0 0 0.00 30 pixel_x[29] 0 0 0.00 30 pixel_x[28] 0 0 0.00 30 pixel_x[27] 0 0 0.00 30 pixel_x[26] 0 0 0.00 30 pixel_x[25] 0 0 0.00 30 pixel_x[24] 0 0 0.00 30 pixel_x[23] 0 0 0.00 30 pixel_x[22] 0 0 0.00 30 pixel_x[21] 0 0 0.00 30 pixel_x[20] 0 0 0.00 30 pixel_x[19] 0 0 0.00 30 pixel_x[18] 0 0 0.00 30 pixel_x[17] 0 0 0.00 30 pixel_x[16] 0 0 0.00 30 pixel_x[15] 0 0 0.00 30 pixel_x[14] 0 0 0.00 30 pixel_x[13] 0 0 0.00 30 pixel_x[12] 0 0 0.00 30 pixel_x[11] 0 0 0.00 30 pixel_x[10] 0 0 0.00 31 pixel_y[31] 0 0 0.00 31 pixel_y[30] 0 0 0.00 31 pixel_y[29] 0 0 0.00 31 pixel_y[28] 0 0 0.00 31 pixel_y[27] 0 0 0.00 31 pixel_y[26] 0 0 0.00 31 pixel_y[25] 0 0 0.00 31 pixel_y[24] 0 0 0.00 31 pixel_y[23] 0 0 0.00 31 pixel_y[22] 0 0 0.00 31 pixel_y[21] 0 0 0.00 31 pixel_y[20] 0 0 0.00 31 pixel_y[19] 0 0 0.00 31 pixel_y[18] 0 0 0.00 31 pixel_y[17] 0 0 0.00 31 pixel_y[16] 0 0 0.00 31 pixel_y[15] 0 0 0.00 31 pixel_y[14] 0 0 0.00 31 pixel_y[13] 0 0 0.00 31 pixel_y[12] 0 0 0.00 31 pixel_y[11] 0 0 0.00 31 pixel_y[10] 0 0 0.00 39 checker_rgb[7] 0 0 0.00 39 checker_rgb[6] 0 0 0.00 39 checker_rgb[5] 0 0 0.00 39 checker_rgb[1] 0 0 0.00 39 checker_rgb[0] 0 0 0.00 43 hcounter[31] 0 0 0.00 43 hcounter[30] 0 0 0.00 43 hcounter[29] 0 0 0.00 43 hcounter[28] 0 0 0.00 43 hcounter[27] 0 0 0.00 43 hcounter[26] 0 0 0.00 43 hcounter[25] 0 0 0.00 43 hcounter[24] 0 0 0.00 43 hcounter[23] 0 0 0.00 43 hcounter[22] 0 0 0.00 43 hcounter[21] 0 0 0.00 43 hcounter[20] 0 0 0.00 43 hcounter[19] 0 0 0.00 43 hcounter[18] 0 0 0.00 43 hcounter[17] 0 0 0.00 43 hcounter[16] 0 0 0.00 43 hcounter[15] 0 0 0.00 43 hcounter[14] 0 0 0.00 43 hcounter[13] 0 0 0.00 43 hcounter[12] 0 0 0.00 43 hcounter[11] 0 0 0.00 44 vcounter[31] 0 0 0.00 44 vcounter[30] 0 0 0.00 44 vcounter[29] 0 0 0.00 44 vcounter[28] 0 0 0.00 44 vcounter[27] 0 0 0.00 44 vcounter[26] 0 0 0.00 44 vcounter[25] 0 0 0.00 44 vcounter[24] 0 0 0.00 44 vcounter[23] 0 0 0.00 44 vcounter[22] 0 0 0.00 44 vcounter[21] 0 0 0.00 44 vcounter[20] 0 0 0.00 44 vcounter[19] 0 0 0.00 44 vcounter[18] 0 0 0.00 44 vcounter[17] 0 0 0.00 44 vcounter[16] 0 0 0.00 44 vcounter[15] 0 0 0.00 44 vcounter[14] 0 0 0.00 44 vcounter[13] 0 0 0.00 44 vcounter[12] 0 0 0.00 44 vcounter[11] 0 0 0.00 44 vcounter[10] 0 0 0.00 Total Node Count = 362 Toggled Node Count = 138 Untoggled Node Count = 224 Toggle Coverage = 38.95% (282 of 724 bins) ================================================================================= === File: rtl/AHB_VGA/counter.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 8 8 0 100.00 ================================Statement Details================================ Statement Coverage for file rtl/AHB_VGA/counter.sv -- 1 `timescale 1ns / 1ps 2 ////////////////////////////////////////////////////////////////////////////////// 3 //END USER LICENCE AGREEMENT // 4 // // 5 //Copyright (c) 2012, ARM All rights reserved. // 6 // // 7 //THIS END USER LICENCE AGREEMENT ("LICENCE") IS A LEGAL AGREEMENT BETWEEN // 8 //YOU AND ARM LIMITED ("ARM") FOR THE USE OF THE SOFTWARE EXAMPLE ACCOMPANYING // 9 //THIS LICENCE. ARM IS ONLY WILLING TO LICENSE THE SOFTWARE EXAMPLE TO YOU ON // 10 //CONDITION THAT YOU ACCEPT ALL OF THE TERMS IN THIS LICENCE. BY INSTALLING OR // 11 //OTHERWISE USING OR COPYING THE SOFTWARE EXAMPLE YOU INDICATE THAT YOU AGREE // 12 //TO BE BOUND BY ALL OF THE TERMS OF THIS LICENCE. IF YOU DO NOT AGREE TO THE // 13 //TERMS OF THIS LICENCE, ARM IS UNWILLING TO LICENSE THE SOFTWARE EXAMPLE TO // 14 //YOU AND YOU MAY NOT INSTALL, USE OR COPY THE SOFTWARE EXAMPLE. // 15 // // 16 //ARM hereby grants to you, subject to the terms and conditions of this Licence,// 17 //a non-exclusive, worldwide, non-transferable, copyright licence only to // 18 //redistribute and use in source and binary forms, with or without modification,// 19 //for academic purposes provided the following conditions are met: // 20 //a) Redistributions of source code must retain the above copyright notice, this// 21 //list of conditions and the following disclaimer. // 22 //b) Redistributions in binary form must reproduce the above copyright notice, // 23 //this list of conditions and the following disclaimer in the documentation // 24 //and/or other materials provided with the distribution. // 25 // // 26 //THIS SOFTWARE EXAMPLE IS PROVIDED BY THE COPYRIGHT HOLDER "AS IS" AND ARM // 27 //EXPRESSLY DISCLAIMS ANY AND ALL WARRANTIES, EXPRESS OR IMPLIED, INCLUDING // 28 //WITHOUT LIMITATION WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR // 29 //PURPOSE, WITH RESPECT TO THIS SOFTWARE EXAMPLE. IN NO EVENT SHALL ARM BE LIABLE/ 30 //FOR ANY DIRECT, INDIRECT, INCIDENTAL, PUNITIVE, OR CONSEQUENTIAL DAMAGES OF ANY/ 31 //KIND WHATSOEVER WITH RESPECT TO THE SOFTWARE EXAMPLE. ARM SHALL NOT BE LIABLE // 32 //FOR ANY CLAIMS, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, // 33 //TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE // 34 //EXAMPLE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE EXAMPLE. FOR THE AVOIDANCE/ 35 // OF DOUBT, NO PATENT LICENSES ARE BEING LICENSED UNDER THIS LICENSE AGREEMENT.// 36 ////////////////////////////////////////////////////////////////////////////////// 37 38 module GenericCounter( 39 CLK, 40 RESET, 41 ENABLE_IN, 42 TRIG_OUT, 43 COUNT 44 ); 45 parameter COUNTER_WIDTH=4; 46 parameter COUNTER_MAX=4; 47 48 input CLK; 49 input RESET; 50 input ENABLE_IN; 51 output TRIG_OUT; 52 output [COUNTER_WIDTH-1:0] COUNT; 53 54 reg [COUNTER_WIDTH-1:0] counter; 55 reg triggerout; 56 57 58 1 1679956 always@(posedge CLK)begin 59 if (RESET) 60 1 6 counter<=0; 61 else begin 62 if (ENABLE_IN) begin 63 if (counter==(COUNTER_MAX)) 64 1 420250 counter<=0; 65 else 66 1 839450 counter<=counter+1; 67 end 68 end 69 end 70 71 1 1679957 always@(posedge CLK)begin 72 if (RESET) 73 1 6 triggerout<=0; 74 else begin 75 if (ENABLE_IN && (counter==(COUNTER_MAX))) 76 1 420250 triggerout<=1; 77 else 78 1 1259701 triggerout<=0; 79 end 80 end 81 82 assign COUNT=counter; 83 assign TRIG_OUT=triggerout; 84 85 endmodule Branch Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Branches 10 10 0 100.00 ================================Branch Details================================ Branch Coverage for file rtl/AHB_VGA/counter.sv -- ------------------------------------IF Branch------------------------------------ 59 1679956 Count coming in to IF 59 1 6 if (RESET) 61 1 1679950 else begin Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 62 1679950 Count coming in to IF 62 1 1259700 if (ENABLE_IN) begin 420250 All False Count Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 63 1259700 Count coming in to IF 63 1 420250 if (counter==(COUNTER_MAX)) 65 1 839450 else Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 72 1679957 Count coming in to IF 72 1 6 if (RESET) 74 1 1679951 else begin Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 75 1679951 Count coming in to IF 75 1 420250 if (ENABLE_IN && (counter==(COUNTER_MAX))) 77 1 1259701 else Branch totals: 2 hits of 2 branches = 100.00% Condition Coverage: Enabled Coverage Active Covered Misses % Covered ---------------- ------ ---- ------ --------- FEC Condition Terms 3 3 0 100.00 ================================Condition Details================================ Condition Coverage for file rtl/AHB_VGA/counter.sv -- ----------------Focused Condition View------------------- Line 63 Item 1 (counter == 1) Condition totals: 1 of 1 input term covered = 100.00% ----------------Focused Condition View------------------- Line 75 Item 1 (ENABLE_IN && (counter == 1)) Condition totals: 2 of 2 input terms covered = 100.00% Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 50 49 1 98.00 ================================Toggle Details================================ Toggle Coverage for File rtl/AHB_VGA/counter.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- 49 RESET 3 0 50.00 Total Node Count = 25 Toggled Node Count = 24 Untoggled Node Count = 1 Toggle Coverage = 98.00% (49 of 50 bins) ================================================================================= === File: rtl/AHB_VGA/dual_port_ram_sync.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 6 6 0 100.00 ================================Statement Details================================ Statement Coverage for file rtl/AHB_VGA/dual_port_ram_sync.sv -- 1 ////////////////////////////////////////////////////////////////////////////////// 2 //END USER LICENCE AGREEMENT // 3 // // 4 //Copyright (c) 2012, ARM All rights reserved. // 5 // // 6 //THIS END USER LICENCE AGREEMENT ("LICENCE") IS A LEGAL AGREEMENT BETWEEN // 7 //YOU AND ARM LIMITED ("ARM") FOR THE USE OF THE SOFTWARE EXAMPLE ACCOMPANYING // 8 //THIS LICENCE. ARM IS ONLY WILLING TO LICENSE THE SOFTWARE EXAMPLE TO YOU ON // 9 //CONDITION THAT YOU ACCEPT ALL OF THE TERMS IN THIS LICENCE. BY INSTALLING OR // 10 //OTHERWISE USING OR COPYING THE SOFTWARE EXAMPLE YOU INDICATE THAT YOU AGREE // 11 //TO BE BOUND BY ALL OF THE TERMS OF THIS LICENCE. IF YOU DO NOT AGREE TO THE // 12 //TERMS OF THIS LICENCE, ARM IS UNWILLING TO LICENSE THE SOFTWARE EXAMPLE TO // 13 //YOU AND YOU MAY NOT INSTALL, USE OR COPY THE SOFTWARE EXAMPLE. // 14 // // 15 //ARM hereby grants to you, subject to the terms and conditions of this Licence,// 16 //a non-exclusive, worldwide, non-transferable, copyright licence only to // 17 //redistribute and use in source and binary forms, with or without modification,// 18 //for academic purposes provided the following conditions are met: // 19 //a) Redistributions of source code must retain the above copyright notice, this// 20 //list of conditions and the following disclaimer. // 21 //b) Redistributions in binary form must reproduce the above copyright notice, // 22 //this list of conditions and the following disclaimer in the documentation // 23 //and/or other materials provided with the distribution. // 24 // // 25 //THIS SOFTWARE EXAMPLE IS PROVIDED BY THE COPYRIGHT HOLDER "AS IS" AND ARM // 26 //EXPRESSLY DISCLAIMS ANY AND ALL WARRANTIES, EXPRESS OR IMPLIED, INCLUDING // 27 //WITHOUT LIMITATION WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR // 28 //PURPOSE, WITH RESPECT TO THIS SOFTWARE EXAMPLE. IN NO EVENT SHALL ARM BE LIABLE/ 29 //FOR ANY DIRECT, INDIRECT, INCIDENTAL, PUNITIVE, OR CONSEQUENTIAL DAMAGES OF ANY/ 30 //KIND WHATSOEVER WITH RESPECT TO THE SOFTWARE EXAMPLE. ARM SHALL NOT BE LIABLE // 31 //FOR ANY CLAIMS, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, // 32 //TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE // 33 //EXAMPLE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE EXAMPLE. FOR THE AVOIDANCE/ 34 // OF DOUBT, NO PATENT LICENSES ARE BEING LICENSED UNDER THIS LICENSE AGREEMENT.// 35 ////////////////////////////////////////////////////////////////////////////////// 36 37 module dual_port_ram_sync 38 #( 39 parameter ADDR_WIDTH = 6, 40 parameter DATA_WIDTH = 8 41 ) 42 ( 43 input wire clk, 44 input wire we, 45 input wire [ADDR_WIDTH-1:0] addr_a, 46 input wire [ADDR_WIDTH-1:0] addr_b, 47 input wire [DATA_WIDTH-1:0] din_a, 48 49 output wire [DATA_WIDTH-1:0] dout_a, 50 output wire [DATA_WIDTH-1:0] dout_b 51 ); 52 53 reg [DATA_WIDTH-1:0] ram [2**ADDR_WIDTH-1:0]; 54 reg [ADDR_WIDTH-1:0] addr_a_reg; 55 reg [ADDR_WIDTH-1:0] addr_b_reg; 56 57 1 251916 always @ (posedge clk) 58 begin 59 if(we) 60 1 30 ram[addr_a] <= din_a; 61 1 251916 addr_a_reg <= addr_a; 62 1 251916 addr_b_reg <= addr_b; 63 end 64 65 1 63 assign dout_a = ram[addr_a_reg]; 66 1 125945 assign dout_b = ram[addr_b_reg]; 67 68 endmodule Branch Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Branches 2 2 0 100.00 ================================Branch Details================================ Branch Coverage for file rtl/AHB_VGA/dual_port_ram_sync.sv -- ------------------------------------IF Branch------------------------------------ 59 251916 Count coming in to IF 59 1 30 if(we) 251886 All False Count Branch totals: 2 hits of 2 branches = 100.00% Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 172 124 48 72.09 ================================Toggle Details================================ Toggle Coverage for File rtl/AHB_VGA/dual_port_ram_sync.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- 45 addr_a[9] 0 0 0.00 45 addr_a[8] 0 0 0.00 45 addr_a[7] 0 1 50.00 45 addr_a[6] 0 0 0.00 45 addr_a[5] 0 0 0.00 45 addr_a[14] 0 0 0.00 45 addr_a[13] 0 0 0.00 45 addr_a[12] 0 0 0.00 45 addr_a[11] 0 0 0.00 45 addr_a[10] 0 0 0.00 46 addr_b[14] 0 0 0.00 47 din_a[7] 0 0 0.00 49 dout_a[7] 0 0 0.00 50 dout_b[7] 0 0 0.00 54 addr_a_reg[9] 0 0 0.00 54 addr_a_reg[8] 0 0 0.00 54 addr_a_reg[7] 0 1 50.00 54 addr_a_reg[6] 0 0 0.00 54 addr_a_reg[5] 0 0 0.00 54 addr_a_reg[14] 0 0 0.00 54 addr_a_reg[13] 0 0 0.00 54 addr_a_reg[12] 0 0 0.00 54 addr_a_reg[11] 0 0 0.00 54 addr_a_reg[10] 0 0 0.00 55 addr_b_reg[14] 0 0 0.00 Total Node Count = 86 Toggled Node Count = 61 Untoggled Node Count = 25 Toggle Coverage = 72.09% (124 of 172 bins) ================================================================================= === File: rtl/AHB_VGA/font_rom.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 2051 483 1568 23.54 ================================Statement Details================================ Statement Coverage for file rtl/AHB_VGA/font_rom.sv -- 1 ////////////////////////////////////////////////////////////////////////////////// 2 //END USER LICENCE AGREEMENT // 3 // // 4 //Copyright (c) 2012, ARM All rights reserved. // 5 // // 6 //THIS END USER LICENCE AGREEMENT (LICENCE) IS A LEGAL AGREEMENT BETWEEN // 7 //YOU AND ARM LIMITED ("ARM") FOR THE USE OF THE SOFTWARE EXAMPLE ACCOMPANYING // 8 //THIS LICENCE. ARM IS ONLY WILLING TO LICENSE THE SOFTWARE EXAMPLE TO YOU ON // 9 //CONDITION THAT YOU ACCEPT ALL OF THE TERMS IN THIS LICENCE. BY INSTALLING OR // 10 //OTHERWISE USING OR COPYING THE SOFTWARE EXAMPLE YOU INDICATE THAT YOU AGREE // 11 //TO BE BOUND BY ALL OF THE TERMS OF THIS LICENCE. IF YOU DO NOT AGREE TO THE // 12 //TERMS OF THIS LICENCE, ARM IS UNWILLING TO LICENSE THE SOFTWARE EXAMPLE TO // 13 //YOU AND YOU MAY NOT INSTALL, USE OR COPY THE SOFTWARE EXAMPLE. // 14 // // 15 //ARM hereby grants to you, subject to the terms and conditions of this Licence,// 16 //a non-exclusive, worldwide, non-transferable, copyright licence only to // 17 //redistribute and use in source and binary forms, with or without modification,// 18 //for academic purposes provided the following conditions are met: // 19 //a) Redistributions of source code must retain the above copyright notice, this// 20 //list of conditions and the following disclaimer. // 21 //b) Redistributions in binary form must reproduce the above copyright notice, // 22 //this list of conditions and the following disclaimer in the documentation // 23 //and/or other materials provided with the distribution. // 24 // // 25 //THIS SOFTWARE EXAMPLE IS PROVIDED BY THE COPYRIGHT HOLDER "AS IS" AND ARM // 26 //EXPRESSLY DISCLAIMS ANY AND ALL WARRANTIES, EXPRESS OR IMPLIED, INCLUDING // 27 //WITHOUT LIMITATION WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR // 28 //PURPOSE, WITH RESPECT TO THIS SOFTWARE EXAMPLE. IN NO EVENT SHALL ARM BE LIABLE/ 29 //FOR ANY DIRECT, INDIRECT, INCIDENTAL, PUNITIVE, OR CONSEQUENTIAL DAMAGES OF ANY/ 30 //KIND WHATSOEVER WITH RESPECT TO THE SOFTWARE EXAMPLE. ARM SHALL NOT BE LIABLE // 31 //FOR ANY CLAIMS, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, // 32 //TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE // 33 //EXAMPLE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE EXAMPLE. FOR THE AVOIDANCE/ 34 // OF DOUBT, NO PATENT LICENSES ARE BEING LICENSED UNDER THIS LICENSE AGREEMENT.// 35 ////////////////////////////////////////////////////////////////////////////////// 36 37 module font_rom 38 ( 39 input wire clk, 40 input wire [10:0] addr, 41 output reg [7:0] data 42 ); 43 44 // signal declaration 45 reg [10:0] addr_reg; 46 47 // body 48 1 4563 always @(posedge clk) 49 1 4563 addr_reg <= addr; 50 51 1 2282 always @* 52 case (addr_reg) 53 //code x00 54 1 ***0*** 11'h000: data = 8'b00000000; // 55 1 ***0*** 11'h001: data = 8'b00000000; // 56 1 ***0*** 11'h002: data = 8'b00000000; // 57 1 ***0*** 11'h003: data = 8'b00000000; // 58 1 ***0*** 11'h004: data = 8'b00000000; // 59 1 ***0*** 11'h005: data = 8'b00000000; // 60 1 ***0*** 11'h006: data = 8'b00000000; // 61 1 ***0*** 11'h007: data = 8'b00000000; // 62 1 ***0*** 11'h008: data = 8'b00000000; // 63 1 ***0*** 11'h009: data = 8'b00000000; // 64 1 ***0*** 11'h00a: data = 8'b00000000; // 65 1 ***0*** 11'h00b: data = 8'b00000000; // 66 1 ***0*** 11'h00c: data = 8'b00000000; // 67 1 ***0*** 11'h00d: data = 8'b00000000; // 68 1 ***0*** 11'h00e: data = 8'b00000000; // 69 1 ***0*** 11'h00f: data = 8'b00000000; // 70 //code x01 71 1 43 11'h010: data = 8'b00000000; // 72 1 1 11'h011: data = 8'b00000000; // 73 1 1 11'h012: data = 8'b01111110; // ****** 74 1 1 11'h013: data = 8'b10000001; // * * 75 1 1 11'h014: data = 8'b10100101; // * * * * 76 1 1 11'h015: data = 8'b10000001; // * * 77 1 1 11'h016: data = 8'b10000001; // * * 78 1 1 11'h017: data = 8'b10111101; // * **** * 79 1 1 11'h018: data = 8'b10011001; // * ** * 80 1 1 11'h019: data = 8'b10000001; // * * 81 1 1 11'h01a: data = 8'b10000001; // * * 82 1 1 11'h01b: data = 8'b01111110; // ****** 83 1 1 11'h01c: data = 8'b00000000; // 84 1 1 11'h01d: data = 8'b00000000; // 85 1 1 11'h01e: data = 8'b00000000; // 86 1 1 11'h01f: data = 8'b00000000; // 87 //code x02 88 1 ***0*** 11'h020: data = 8'b00000000; // 89 1 ***0*** 11'h021: data = 8'b00000000; // 90 1 ***0*** 11'h022: data = 8'b01111110; // ****** 91 1 ***0*** 11'h023: data = 8'b11111111; // ******** 92 1 ***0*** 11'h024: data = 8'b11011011; // ** ** ** 93 1 ***0*** 11'h025: data = 8'b11111111; // ******** 94 1 ***0*** 11'h026: data = 8'b11111111; // ******** 95 1 ***0*** 11'h027: data = 8'b11000011; // ** ** 96 1 ***0*** 11'h028: data = 8'b11100111; // *** *** 97 1 ***0*** 11'h029: data = 8'b11111111; // ******** 98 1 ***0*** 11'h02a: data = 8'b11111111; // ******** 99 1 ***0*** 11'h02b: data = 8'b01111110; // ****** 100 1 ***0*** 11'h02c: data = 8'b00000000; // 101 1 ***0*** 11'h02d: data = 8'b00000000; // 102 1 ***0*** 11'h02e: data = 8'b00000000; // 103 1 ***0*** 11'h02f: data = 8'b00000000; // 104 //code x03 105 1 ***0*** 11'h030: data = 8'b00000000; // 106 1 ***0*** 11'h031: data = 8'b00000000; // 107 1 ***0*** 11'h032: data = 8'b00000000; // 108 1 ***0*** 11'h033: data = 8'b00000000; // 109 1 ***0*** 11'h034: data = 8'b01101100; // ** ** 110 1 ***0*** 11'h035: data = 8'b11111110; // ******* 111 1 ***0*** 11'h036: data = 8'b11111110; // ******* 112 1 ***0*** 11'h037: data = 8'b11111110; // ******* 113 1 ***0*** 11'h038: data = 8'b11111110; // ******* 114 1 ***0*** 11'h039: data = 8'b01111100; // ***** 115 1 ***0*** 11'h03a: data = 8'b00111000; // *** 116 1 ***0*** 11'h03b: data = 8'b00010000; // * 117 1 ***0*** 11'h03c: data = 8'b00000000; // 118 1 ***0*** 11'h03d: data = 8'b00000000; // 119 1 ***0*** 11'h03e: data = 8'b00000000; // 120 1 ***0*** 11'h03f: data = 8'b00000000; // 121 //code x04 122 1 ***0*** 11'h040: data = 8'b00000000; // 123 1 ***0*** 11'h041: data = 8'b00000000; // 124 1 ***0*** 11'h042: data = 8'b00000000; // 125 1 ***0*** 11'h043: data = 8'b00000000; // 126 1 ***0*** 11'h044: data = 8'b00010000; // * 127 1 ***0*** 11'h045: data = 8'b00111000; // *** 128 1 ***0*** 11'h046: data = 8'b01111100; // ***** 129 1 ***0*** 11'h047: data = 8'b11111110; // ******* 130 1 ***0*** 11'h048: data = 8'b01111100; // ***** 131 1 ***0*** 11'h049: data = 8'b00111000; // *** 132 1 ***0*** 11'h04a: data = 8'b00010000; // * 133 1 ***0*** 11'h04b: data = 8'b00000000; // 134 1 ***0*** 11'h04c: data = 8'b00000000; // 135 1 ***0*** 11'h04d: data = 8'b00000000; // 136 1 ***0*** 11'h04e: data = 8'b00000000; // 137 1 ***0*** 11'h04f: data = 8'b00000000; // 138 //code x05 139 1 ***0*** 11'h050: data = 8'b00000000; // 140 1 ***0*** 11'h051: data = 8'b00000000; // 141 1 ***0*** 11'h052: data = 8'b00000000; // 142 1 ***0*** 11'h053: data = 8'b00011000; // ** 143 1 ***0*** 11'h054: data = 8'b00111100; // **** 144 1 ***0*** 11'h055: data = 8'b00111100; // **** 145 1 ***0*** 11'h056: data = 8'b11100111; // *** *** 146 1 ***0*** 11'h057: data = 8'b11100111; // *** *** 147 1 ***0*** 11'h058: data = 8'b11100111; // *** *** 148 1 ***0*** 11'h059: data = 8'b00011000; // ** 149 1 ***0*** 11'h05a: data = 8'b00011000; // ** 150 1 ***0*** 11'h05b: data = 8'b00111100; // **** 151 1 ***0*** 11'h05c: data = 8'b00000000; // 152 1 ***0*** 11'h05d: data = 8'b00000000; // 153 1 ***0*** 11'h05e: data = 8'b00000000; // 154 1 ***0*** 11'h05f: data = 8'b00000000; // 155 //code x06 156 1 43 11'h060: data = 8'b00000000; // 157 1 1 11'h061: data = 8'b00000000; // 158 1 1 11'h062: data = 8'b00000000; // 159 1 1 11'h063: data = 8'b00011000; // ** 160 1 1 11'h064: data = 8'b00111100; // **** 161 1 1 11'h065: data = 8'b01111110; // ****** 162 1 1 11'h066: data = 8'b11111111; // ******** 163 1 1 11'h067: data = 8'b11111111; // ******** 164 1 1 11'h068: data = 8'b01111110; // ****** 165 1 1 11'h069: data = 8'b00011000; // ** 166 1 1 11'h06a: data = 8'b00011000; // ** 167 1 1 11'h06b: data = 8'b00111100; // **** 168 1 1 11'h06c: data = 8'b00000000; // 169 1 1 11'h06d: data = 8'b00000000; // 170 1 1 11'h06e: data = 8'b00000000; // 171 1 1 11'h06f: data = 8'b00000000; // 172 //code x07 173 1 ***0*** 11'h070: data = 8'b00000000; // 174 1 ***0*** 11'h071: data = 8'b00000000; // 175 1 ***0*** 11'h072: data = 8'b00000000; // 176 1 ***0*** 11'h073: data = 8'b00000000; // 177 1 ***0*** 11'h074: data = 8'b00000000; // 178 1 ***0*** 11'h075: data = 8'b00000000; // 179 1 ***0*** 11'h076: data = 8'b00011000; // ** 180 1 ***0*** 11'h077: data = 8'b00111100; // **** 181 1 ***0*** 11'h078: data = 8'b00111100; // **** 182 1 ***0*** 11'h079: data = 8'b00011000; // ** 183 1 ***0*** 11'h07a: data = 8'b00000000; // 184 1 ***0*** 11'h07b: data = 8'b00000000; // 185 1 ***0*** 11'h07c: data = 8'b00000000; // 186 1 ***0*** 11'h07d: data = 8'b00000000; // 187 1 ***0*** 11'h07e: data = 8'b00000000; // 188 1 ***0*** 11'h07f: data = 8'b00000000; // 189 //code x08 190 1 ***0*** 11'h080: data = 8'b00000000; // 191 1 ***0*** 11'h081: data = 8'b00000000; // 192 1 ***0*** 11'h082: data = 8'b00000000; // 193 1 ***0*** 11'h083: data = 8'b00000000; // 194 1 ***0*** 11'h084: data = 8'b00000000; // 195 1 ***0*** 11'h085: data = 8'b00000000; // 196 1 ***0*** 11'h086: data = 8'b00000000; // 197 1 ***0*** 11'h087: data = 8'b00000000; // 198 1 ***0*** 11'h088: data = 8'b00000000; // 199 1 ***0*** 11'h089: data = 8'b00000000; // 200 1 ***0*** 11'h08a: data = 8'b00000000; // 201 1 ***0*** 11'h08b: data = 8'b00000000; // 202 1 ***0*** 11'h08c: data = 8'b00000000; // 203 1 ***0*** 11'h08d: data = 8'b00000000; // 204 1 ***0*** 11'h08e: data = 8'b00000000; // 205 1 ***0*** 11'h08f: data = 8'b00000000; // 206 //code x09 207 1 ***0*** 11'h090: data = 8'b00000000; // 208 1 ***0*** 11'h091: data = 8'b00000000; // 209 1 ***0*** 11'h092: data = 8'b00000000; // 210 1 ***0*** 11'h093: data = 8'b00000000; // 211 1 ***0*** 11'h094: data = 8'b00000000; // 212 1 ***0*** 11'h095: data = 8'b00111100; // **** 213 1 ***0*** 11'h096: data = 8'b01100110; // ** ** 214 1 ***0*** 11'h097: data = 8'b01000010; // * * 215 1 ***0*** 11'h098: data = 8'b01000010; // * * 216 1 ***0*** 11'h099: data = 8'b01100110; // ** ** 217 1 ***0*** 11'h09a: data = 8'b00111100; // **** 218 1 ***0*** 11'h09b: data = 8'b00000000; // 219 1 ***0*** 11'h09c: data = 8'b00000000; // 220 1 ***0*** 11'h09d: data = 8'b00000000; // 221 1 ***0*** 11'h09e: data = 8'b00000000; // 222 1 ***0*** 11'h09f: data = 8'b00000000; // 223 //code x0a 224 1 ***0*** 11'h0a0: data = 8'b00000000; // 225 1 ***0*** 11'h0a1: data = 8'b00000000; // 226 1 ***0*** 11'h0a2: data = 8'b00000000; // 227 1 ***0*** 11'h0a3: data = 8'b00000000; // 228 1 ***0*** 11'h0a4: data = 8'b00000000; // 229 1 ***0*** 11'h0a5: data = 8'b00000000; // 230 1 ***0*** 11'h0a6: data = 8'b00000000; // 231 1 ***0*** 11'h0a7: data = 8'b00000000; // 232 1 ***0*** 11'h0a8: data = 8'b00000000; // 233 1 ***0*** 11'h0a9: data = 8'b00000000; // 234 1 ***0*** 11'h0aa: data = 8'b00000000; // 235 1 ***0*** 11'h0ab: data = 8'b00000000; // 236 1 ***0*** 11'h0ac: data = 8'b00000000; // 237 1 ***0*** 11'h0ad: data = 8'b00000000; // 238 1 ***0*** 11'h0ae: data = 8'b00000000; // 239 1 ***0*** 11'h0af: data = 8'b00000000; // 240 //code x0b 241 1 43 11'h0b0: data = 8'b00000000; // 242 1 1 11'h0b1: data = 8'b00000000; // 243 1 1 11'h0b2: data = 8'b00011110; // **** 244 1 1 11'h0b3: data = 8'b00001110; // *** 245 1 1 11'h0b4: data = 8'b00011010; // ** * 246 1 1 11'h0b5: data = 8'b00110010; // ** * 247 1 1 11'h0b6: data = 8'b01111000; // **** 248 1 1 11'h0b7: data = 8'b11001100; // ** ** 249 1 1 11'h0b8: data = 8'b11001100; // ** ** 250 1 1 11'h0b9: data = 8'b11001100; // ** ** 251 1 1 11'h0ba: data = 8'b11001100; // ** ** 252 1 1 11'h0bb: data = 8'b01111000; // **** 253 1 1 11'h0bc: data = 8'b00000000; // 254 1 1 11'h0bd: data = 8'b00000000; // 255 1 1 11'h0be: data = 8'b00000000; // 256 1 1 11'h0bf: data = 8'b00000000; // 257 //code x0c 258 1 ***0*** 11'h0c0: data = 8'b00000000; // 259 1 ***0*** 11'h0c1: data = 8'b00000000; // 260 1 ***0*** 11'h0c2: data = 8'b00111100; // **** 261 1 ***0*** 11'h0c3: data = 8'b01100110; // ** ** 262 1 ***0*** 11'h0c4: data = 8'b01100110; // ** ** 263 1 ***0*** 11'h0c5: data = 8'b01100110; // ** ** 264 1 ***0*** 11'h0c6: data = 8'b01100110; // ** ** 265 1 ***0*** 11'h0c7: data = 8'b00111100; // **** 266 1 ***0*** 11'h0c8: data = 8'b00011000; // ** 267 1 ***0*** 11'h0c9: data = 8'b01111110; // ****** 268 1 ***0*** 11'h0ca: data = 8'b00011000; // ** 269 1 ***0*** 11'h0cb: data = 8'b00011000; // ** 270 1 ***0*** 11'h0cc: data = 8'b00000000; // 271 1 ***0*** 11'h0cd: data = 8'b00000000; // 272 1 ***0*** 11'h0ce: data = 8'b00000000; // 273 1 ***0*** 11'h0cf: data = 8'b00000000; // 274 //code x0d 275 1 ***0*** 11'h0d0: data = 8'b00000000; // 276 1 ***0*** 11'h0d1: data = 8'b00000000; // 277 1 ***0*** 11'h0d2: data = 8'b00000000; // 278 1 ***0*** 11'h0d3: data = 8'b00000000; // 279 1 ***0*** 11'h0d4: data = 8'b00000000; // 280 1 ***0*** 11'h0d5: data = 8'b00000000; // 281 1 ***0*** 11'h0d6: data = 8'b00000000; // 282 1 ***0*** 11'h0d7: data = 8'b00000000; // 283 1 ***0*** 11'h0d8: data = 8'b00000000; // 284 1 ***0*** 11'h0d9: data = 8'b00000000; // 285 1 ***0*** 11'h0da: data = 8'b00000000; // 286 1 ***0*** 11'h0db: data = 8'b00000000; // 287 1 ***0*** 11'h0dc: data = 8'b00000000; // 288 1 ***0*** 11'h0dd: data = 8'b00000000; // 289 1 ***0*** 11'h0de: data = 8'b00000000; // 290 1 ***0*** 11'h0df: data = 8'b00000000; // 291 //code x0e 292 1 43 11'h0e0: data = 8'b00000000; // 293 1 1 11'h0e1: data = 8'b00000000; // 294 1 1 11'h0e2: data = 8'b01111111; // ******* 295 1 1 11'h0e3: data = 8'b01100011; // ** ** 296 1 1 11'h0e4: data = 8'b01111111; // ******* 297 1 1 11'h0e5: data = 8'b01100011; // ** ** 298 1 1 11'h0e6: data = 8'b01100011; // ** ** 299 1 1 11'h0e7: data = 8'b01100011; // ** ** 300 1 1 11'h0e8: data = 8'b01100011; // ** ** 301 1 1 11'h0e9: data = 8'b01100111; // ** *** 302 1 1 11'h0ea: data = 8'b11100111; // *** *** 303 1 1 11'h0eb: data = 8'b11100110; // *** ** 304 1 1 11'h0ec: data = 8'b11000000; // ** 305 1 1 11'h0ed: data = 8'b00000000; // 306 1 1 11'h0ee: data = 8'b00000000; // 307 1 1 11'h0ef: data = 8'b00000000; // 308 //code x0f 309 1 ***0*** 11'h0f0: data = 8'b00000000; // 310 1 ***0*** 11'h0f1: data = 8'b00000000; // 311 1 ***0*** 11'h0f2: data = 8'b00000000; // 312 1 ***0*** 11'h0f3: data = 8'b00011000; // ** 313 1 ***0*** 11'h0f4: data = 8'b00011000; // ** 314 1 ***0*** 11'h0f5: data = 8'b11011011; // ** ** ** 315 1 ***0*** 11'h0f6: data = 8'b00111100; // **** 316 1 ***0*** 11'h0f7: data = 8'b11100111; // *** *** 317 1 ***0*** 11'h0f8: data = 8'b00111100; // **** 318 1 ***0*** 11'h0f9: data = 8'b11011011; // ** ** ** 319 1 ***0*** 11'h0fa: data = 8'b00011000; // ** 320 1 ***0*** 11'h0fb: data = 8'b00011000; // ** 321 1 ***0*** 11'h0fc: data = 8'b00000000; // 322 1 ***0*** 11'h0fd: data = 8'b00000000; // 323 1 ***0*** 11'h0fe: data = 8'b00000000; // 324 1 ***0*** 11'h0ff: data = 8'b00000000; // 325 //code x10 326 1 ***0*** 11'h100: data = 8'b00000000; // 327 1 ***0*** 11'h101: data = 8'b10000000; // * 328 1 ***0*** 11'h102: data = 8'b11000000; // ** 329 1 ***0*** 11'h103: data = 8'b11100000; // *** 330 1 ***0*** 11'h104: data = 8'b11110000; // **** 331 1 ***0*** 11'h105: data = 8'b11111000; // ***** 332 1 ***0*** 11'h106: data = 8'b11111110; // ******* 333 1 ***0*** 11'h107: data = 8'b11111000; // ***** 334 1 ***0*** 11'h108: data = 8'b11110000; // **** 335 1 ***0*** 11'h109: data = 8'b11100000; // *** 336 1 ***0*** 11'h10a: data = 8'b11000000; // ** 337 1 ***0*** 11'h10b: data = 8'b10000000; // * 338 1 ***0*** 11'h10c: data = 8'b00000000; // 339 1 ***0*** 11'h10d: data = 8'b00000000; // 340 1 ***0*** 11'h10e: data = 8'b00000000; // 341 1 ***0*** 11'h10f: data = 8'b00000000; // 342 //code x11 343 1 43 11'h110: data = 8'b00000000; // 344 1 1 11'h111: data = 8'b00000010; // * 345 1 1 11'h112: data = 8'b00000110; // ** 346 1 1 11'h113: data = 8'b00001110; // *** 347 1 1 11'h114: data = 8'b00011110; // **** 348 1 1 11'h115: data = 8'b00111110; // ***** 349 1 1 11'h116: data = 8'b11111110; // ******* 350 1 1 11'h117: data = 8'b00111110; // ***** 351 1 1 11'h118: data = 8'b00011110; // **** 352 1 1 11'h119: data = 8'b00001110; // *** 353 1 1 11'h11a: data = 8'b00000110; // ** 354 1 1 11'h11b: data = 8'b00000010; // * 355 1 1 11'h11c: data = 8'b00000000; // 356 1 1 11'h11d: data = 8'b00000000; // 357 1 1 11'h11e: data = 8'b00000000; // 358 1 1 11'h11f: data = 8'b00000000; // 359 //code x12 360 1 ***0*** 11'h120: data = 8'b00000000; // 361 1 ***0*** 11'h121: data = 8'b00000000; // 362 1 ***0*** 11'h122: data = 8'b00011000; // ** 363 1 ***0*** 11'h123: data = 8'b00111100; // **** 364 1 ***0*** 11'h124: data = 8'b01111110; // ****** 365 1 ***0*** 11'h125: data = 8'b00011000; // ** 366 1 ***0*** 11'h126: data = 8'b00011000; // ** 367 1 ***0*** 11'h127: data = 8'b00011000; // ** 368 1 ***0*** 11'h128: data = 8'b01111110; // ****** 369 1 ***0*** 11'h129: data = 8'b00111100; // **** 370 1 ***0*** 11'h12a: data = 8'b00011000; // ** 371 1 ***0*** 11'h12b: data = 8'b00000000; // 372 1 ***0*** 11'h12c: data = 8'b00000000; // 373 1 ***0*** 11'h12d: data = 8'b00000000; // 374 1 ***0*** 11'h12e: data = 8'b00000000; // 375 1 ***0*** 11'h12f: data = 8'b00000000; // 376 //code x13 377 1 ***0*** 11'h130: data = 8'b00000000; // 378 1 ***0*** 11'h131: data = 8'b00000000; // 379 1 ***0*** 11'h132: data = 8'b01100110; // ** ** 380 1 ***0*** 11'h133: data = 8'b01100110; // ** ** 381 1 ***0*** 11'h134: data = 8'b01100110; // ** ** 382 1 ***0*** 11'h135: data = 8'b01100110; // ** ** 383 1 ***0*** 11'h136: data = 8'b01100110; // ** ** 384 1 ***0*** 11'h137: data = 8'b01100110; // ** ** 385 1 ***0*** 11'h138: data = 8'b01100110; // ** ** 386 1 ***0*** 11'h139: data = 8'b00000000; // 387 1 ***0*** 11'h13a: data = 8'b01100110; // ** ** 388 1 ***0*** 11'h13b: data = 8'b01100110; // ** ** 389 1 ***0*** 11'h13c: data = 8'b00000000; // 390 1 ***0*** 11'h13d: data = 8'b00000000; // 391 1 ***0*** 11'h13e: data = 8'b00000000; // 392 1 ***0*** 11'h13f: data = 8'b00000000; // 393 //code x14 394 1 43 11'h140: data = 8'b00000000; // 395 1 1 11'h141: data = 8'b00000000; // 396 1 1 11'h142: data = 8'b01111111; // ******* 397 1 1 11'h143: data = 8'b11011011; // ** ** ** 398 1 1 11'h144: data = 8'b11011011; // ** ** ** 399 1 1 11'h145: data = 8'b11011011; // ** ** ** 400 1 1 11'h146: data = 8'b01111011; // **** ** 401 1 1 11'h147: data = 8'b00011011; // ** ** 402 1 1 11'h148: data = 8'b00011011; // ** ** 403 1 1 11'h149: data = 8'b00011011; // ** ** 404 1 1 11'h14a: data = 8'b00011011; // ** ** 405 1 1 11'h14b: data = 8'b00011011; // ** ** 406 1 1 11'h14c: data = 8'b00000000; // 407 1 1 11'h14d: data = 8'b00000000; // 408 1 1 11'h14e: data = 8'b00000000; // 409 1 1 11'h14f: data = 8'b00000000; // 410 //code x15 411 1 ***0*** 11'h150: data = 8'b00000000; // 412 1 ***0*** 11'h151: data = 8'b01111100; // ***** 413 1 ***0*** 11'h152: data = 8'b11000110; // ** ** 414 1 ***0*** 11'h153: data = 8'b01100000; // ** 415 1 ***0*** 11'h154: data = 8'b00111000; // *** 416 1 ***0*** 11'h155: data = 8'b01101100; // ** ** 417 1 ***0*** 11'h156: data = 8'b11000110; // ** ** 418 1 ***0*** 11'h157: data = 8'b11000110; // ** ** 419 1 ***0*** 11'h158: data = 8'b01101100; // ** ** 420 1 ***0*** 11'h159: data = 8'b00111000; // *** 421 1 ***0*** 11'h15a: data = 8'b00001100; // ** 422 1 ***0*** 11'h15b: data = 8'b11000110; // ** ** 423 1 ***0*** 11'h15c: data = 8'b01111100; // ***** 424 1 ***0*** 11'h15d: data = 8'b00000000; // 425 1 ***0*** 11'h15e: data = 8'b00000000; // 426 1 ***0*** 11'h15f: data = 8'b00000000; // 427 //code x16 428 1 ***0*** 11'h160: data = 8'b00000000; // 429 1 ***0*** 11'h161: data = 8'b00000000; // 430 1 ***0*** 11'h162: data = 8'b00000000; // 431 1 ***0*** 11'h163: data = 8'b00000000; // 432 1 ***0*** 11'h164: data = 8'b00000000; // 433 1 ***0*** 11'h165: data = 8'b00000000; // 434 1 ***0*** 11'h166: data = 8'b00000000; // 435 1 ***0*** 11'h167: data = 8'b00000000; // 436 1 ***0*** 11'h168: data = 8'b11111110; // ******* 437 1 ***0*** 11'h169: data = 8'b11111110; // ******* 438 1 ***0*** 11'h16a: data = 8'b11111110; // ******* 439 1 ***0*** 11'h16b: data = 8'b11111110; // ******* 440 1 ***0*** 11'h16c: data = 8'b00000000; // 441 1 ***0*** 11'h16d: data = 8'b00000000; // 442 1 ***0*** 11'h16e: data = 8'b00000000; // 443 1 ***0*** 11'h16f: data = 8'b00000000; // 444 //code x17 445 1 ***0*** 11'h170: data = 8'b00000000; // 446 1 ***0*** 11'h171: data = 8'b00000000; // 447 1 ***0*** 11'h172: data = 8'b00011000; // ** 448 1 ***0*** 11'h173: data = 8'b00111100; // **** 449 1 ***0*** 11'h174: data = 8'b01111110; // ****** 450 1 ***0*** 11'h175: data = 8'b00011000; // ** 451 1 ***0*** 11'h176: data = 8'b00011000; // ** 452 1 ***0*** 11'h177: data = 8'b00011000; // ** 453 1 ***0*** 11'h178: data = 8'b01111110; // ****** 454 1 ***0*** 11'h179: data = 8'b00111100; // **** 455 1 ***0*** 11'h17a: data = 8'b00011000; // ** 456 1 ***0*** 11'h17b: data = 8'b01111110; // ****** 457 1 ***0*** 11'h17c: data = 8'b00110000; // 458 1 ***0*** 11'h17d: data = 8'b00000000; // 459 1 ***0*** 11'h17e: data = 8'b00000000; // 460 1 ***0*** 11'h17f: data = 8'b00000000; // 461 //code x18 462 1 ***0*** 11'h180: data = 8'b00000000; // 463 1 ***0*** 11'h181: data = 8'b00000000; // 464 1 ***0*** 11'h182: data = 8'b00011000; // ** 465 1 ***0*** 11'h183: data = 8'b00111100; // **** 466 1 ***0*** 11'h184: data = 8'b01111110; // ****** 467 1 ***0*** 11'h185: data = 8'b00011000; // ** 468 1 ***0*** 11'h186: data = 8'b00011000; // ** 469 1 ***0*** 11'h187: data = 8'b00011000; // ** 470 1 ***0*** 11'h188: data = 8'b00011000; // ** 471 1 ***0*** 11'h189: data = 8'b00011000; // ** 472 1 ***0*** 11'h18a: data = 8'b00011000; // ** 473 1 ***0*** 11'h18b: data = 8'b00011000; // ** 474 1 ***0*** 11'h18c: data = 8'b00000000; // 475 1 ***0*** 11'h18d: data = 8'b00000000; // 476 1 ***0*** 11'h18e: data = 8'b00000000; // 477 1 ***0*** 11'h18f: data = 8'b00000000; // 478 //code x19 479 1 43 11'h190: data = 8'b00000000; // 480 1 1 11'h191: data = 8'b00000000; // 481 1 1 11'h192: data = 8'b00011000; // ** 482 1 1 11'h193: data = 8'b00011000; // ** 483 1 1 11'h194: data = 8'b00011000; // ** 484 1 1 11'h195: data = 8'b00011000; // ** 485 1 1 11'h196: data = 8'b00011000; // ** 486 1 1 11'h197: data = 8'b00011000; // ** 487 1 1 11'h198: data = 8'b00011000; // ** 488 1 1 11'h199: data = 8'b01111110; // ****** 489 1 1 11'h19a: data = 8'b00111100; // **** 490 1 1 11'h19b: data = 8'b00011000; // ** 491 1 1 11'h19c: data = 8'b00000000; // 492 1 1 11'h19d: data = 8'b00000000; // 493 1 1 11'h19e: data = 8'b00000000; // 494 1 1 11'h19f: data = 8'b00000000; // 495 //code x1a 496 1 ***0*** 11'h1a0: data = 8'b00000000; // 497 1 ***0*** 11'h1a1: data = 8'b00000000; // 498 1 ***0*** 11'h1a2: data = 8'b00000000; // 499 1 ***0*** 11'h1a3: data = 8'b00000000; // 500 1 ***0*** 11'h1a4: data = 8'b00000000; // 501 1 ***0*** 11'h1a5: data = 8'b00011000; // ** 502 1 ***0*** 11'h1a6: data = 8'b00001100; // ** 503 1 ***0*** 11'h1a7: data = 8'b11111110; // ******* 504 1 ***0*** 11'h1a8: data = 8'b00001100; // ** 505 1 ***0*** 11'h1a9: data = 8'b00011000; // ** 506 1 ***0*** 11'h1aa: data = 8'b00000000; // 507 1 ***0*** 11'h1ab: data = 8'b00000000; // 508 1 ***0*** 11'h1ac: data = 8'b00000000; // 509 1 ***0*** 11'h1ad: data = 8'b00000000; // 510 1 ***0*** 11'h1ae: data = 8'b00000000; // 511 1 ***0*** 11'h1af: data = 8'b00000000; // 512 //code x1b 513 1 ***0*** 11'h1b0: data = 8'b00000000; // 514 1 ***0*** 11'h1b1: data = 8'b00000000; // 515 1 ***0*** 11'h1b2: data = 8'b00000000; // 516 1 ***0*** 11'h1b3: data = 8'b00000000; // 517 1 ***0*** 11'h1b4: data = 8'b00000000; // 518 1 ***0*** 11'h1b5: data = 8'b00110000; // ** 519 1 ***0*** 11'h1b6: data = 8'b01100000; // ** 520 1 ***0*** 11'h1b7: data = 8'b11111110; // ******* 521 1 ***0*** 11'h1b8: data = 8'b01100000; // ** 522 1 ***0*** 11'h1b9: data = 8'b00110000; // ** 523 1 ***0*** 11'h1ba: data = 8'b00000000; // 524 1 ***0*** 11'h1bb: data = 8'b00000000; // 525 1 ***0*** 11'h1bc: data = 8'b00000000; // 526 1 ***0*** 11'h1bd: data = 8'b00000000; // 527 1 ***0*** 11'h1be: data = 8'b00000000; // 528 1 ***0*** 11'h1bf: data = 8'b00000000; // 529 //code x1c 530 1 ***0*** 11'h1c0: data = 8'b00000000; // 531 1 ***0*** 11'h1c1: data = 8'b00000000; // 532 1 ***0*** 11'h1c2: data = 8'b00000000; // 533 1 ***0*** 11'h1c3: data = 8'b00000000; // 534 1 ***0*** 11'h1c4: data = 8'b00000000; // 535 1 ***0*** 11'h1c5: data = 8'b00000000; // 536 1 ***0*** 11'h1c6: data = 8'b11000000; // ** 537 1 ***0*** 11'h1c7: data = 8'b11000000; // ** 538 1 ***0*** 11'h1c8: data = 8'b11000000; // ** 539 1 ***0*** 11'h1c9: data = 8'b11111110; // ******* 540 1 ***0*** 11'h1ca: data = 8'b00000000; // 541 1 ***0*** 11'h1cb: data = 8'b00000000; // 542 1 ***0*** 11'h1cc: data = 8'b00000000; // 543 1 ***0*** 11'h1cd: data = 8'b00000000; // 544 1 ***0*** 11'h1ce: data = 8'b00000000; // 545 1 ***0*** 11'h1cf: data = 8'b00000000; // 546 //code x1d 547 1 ***0*** 11'h1d0: data = 8'b00000000; // 548 1 ***0*** 11'h1d1: data = 8'b00000000; // 549 1 ***0*** 11'h1d2: data = 8'b00000000; // 550 1 ***0*** 11'h1d3: data = 8'b00000000; // 551 1 ***0*** 11'h1d4: data = 8'b00000000; // 552 1 ***0*** 11'h1d5: data = 8'b00100100; // * * 553 1 ***0*** 11'h1d6: data = 8'b01100110; // ** ** 554 1 ***0*** 11'h1d7: data = 8'b11111111; // ******** 555 1 ***0*** 11'h1d8: data = 8'b01100110; // ** ** 556 1 ***0*** 11'h1d9: data = 8'b00100100; // * * 557 1 ***0*** 11'h1da: data = 8'b00000000; // 558 1 ***0*** 11'h1db: data = 8'b00000000; // 559 1 ***0*** 11'h1dc: data = 8'b00000000; // 560 1 ***0*** 11'h1dd: data = 8'b00000000; // 561 1 ***0*** 11'h1de: data = 8'b00000000; // 562 1 ***0*** 11'h1df: data = 8'b00000000; // 563 //code x1e 564 1 43 11'h1e0: data = 8'b00000000; // 565 1 1 11'h1e1: data = 8'b00000000; // 566 1 1 11'h1e2: data = 8'b00000000; // 567 1 1 11'h1e3: data = 8'b00000000; // 568 1 1 11'h1e4: data = 8'b00010000; // * 569 1 1 11'h1e5: data = 8'b00111000; // *** 570 1 1 11'h1e6: data = 8'b00111000; // *** 571 1 1 11'h1e7: data = 8'b01111100; // ***** 572 1 1 11'h1e8: data = 8'b01111100; // ***** 573 1 1 11'h1e9: data = 8'b11111110; // ******* 574 1 1 11'h1ea: data = 8'b11111110; // ******* 575 1 1 11'h1eb: data = 8'b00000000; // 576 1 1 11'h1ec: data = 8'b00000000; // 577 1 1 11'h1ed: data = 8'b00000000; // 578 1 1 11'h1ee: data = 8'b00000000; // 579 1 1 11'h1ef: data = 8'b00000000; // 580 //code x1f 581 1 ***0*** 11'h1f0: data = 8'b00000000; // 582 1 ***0*** 11'h1f1: data = 8'b00000000; // 583 1 ***0*** 11'h1f2: data = 8'b00000000; // 584 1 ***0*** 11'h1f3: data = 8'b00000000; // 585 1 ***0*** 11'h1f4: data = 8'b11111110; // ******* 586 1 ***0*** 11'h1f5: data = 8'b11111110; // ******* 587 1 ***0*** 11'h1f6: data = 8'b01111100; // ***** 588 1 ***0*** 11'h1f7: data = 8'b01111100; // ***** 589 1 ***0*** 11'h1f8: data = 8'b00111000; // *** 590 1 ***0*** 11'h1f9: data = 8'b00111000; // *** 591 1 ***0*** 11'h1fa: data = 8'b00010000; // * 592 1 ***0*** 11'h1fb: data = 8'b00000000; // 593 1 ***0*** 11'h1fc: data = 8'b00000000; // 594 1 ***0*** 11'h1fd: data = 8'b00000000; // 595 1 ***0*** 11'h1fe: data = 8'b00000000; // 596 1 ***0*** 11'h1ff: data = 8'b00000000; // 597 //code x20 598 1 43 11'h200: data = 8'b00000000; // 599 1 1 11'h201: data = 8'b00000000; // 600 1 1 11'h202: data = 8'b00000000; // 601 1 1 11'h203: data = 8'b00000000; // 602 1 1 11'h204: data = 8'b00000000; // 603 1 1 11'h205: data = 8'b00000000; // 604 1 1 11'h206: data = 8'b00000000; // 605 1 1 11'h207: data = 8'b00000000; // 606 1 1 11'h208: data = 8'b00000000; // 607 1 1 11'h209: data = 8'b00000000; // 608 1 1 11'h20a: data = 8'b00000000; // 609 1 1 11'h20b: data = 8'b00000000; // 610 1 1 11'h20c: data = 8'b00000000; // 611 1 1 11'h20d: data = 8'b00000000; // 612 1 1 11'h20e: data = 8'b00000000; // 613 1 1 11'h20f: data = 8'b00000000; // 614 //code x21 615 1 ***0*** 11'h210: data = 8'b00000000; // 616 1 ***0*** 11'h211: data = 8'b00000000; // 617 1 ***0*** 11'h212: data = 8'b00011000; // ** 618 1 ***0*** 11'h213: data = 8'b00111100; // **** 619 1 ***0*** 11'h214: data = 8'b00111100; // **** 620 1 ***0*** 11'h215: data = 8'b00111100; // **** 621 1 ***0*** 11'h216: data = 8'b00011000; // ** 622 1 ***0*** 11'h217: data = 8'b00011000; // ** 623 1 ***0*** 11'h218: data = 8'b00011000; // ** 624 1 ***0*** 11'h219: data = 8'b00000000; // 625 1 ***0*** 11'h21a: data = 8'b00011000; // ** 626 1 ***0*** 11'h21b: data = 8'b00011000; // ** 627 1 ***0*** 11'h21c: data = 8'b00000000; // 628 1 ***0*** 11'h21d: data = 8'b00000000; // 629 1 ***0*** 11'h21e: data = 8'b00000000; // 630 1 ***0*** 11'h21f: data = 8'b00000000; // 631 //code x22 632 1 ***0*** 11'h220: data = 8'b00000000; // 633 1 ***0*** 11'h221: data = 8'b01100110; // ** ** 634 1 ***0*** 11'h222: data = 8'b01100110; // ** ** 635 1 ***0*** 11'h223: data = 8'b01100110; // ** ** 636 1 ***0*** 11'h224: data = 8'b00100100; // * * 637 1 ***0*** 11'h225: data = 8'b00000000; // 638 1 ***0*** 11'h226: data = 8'b00000000; // 639 1 ***0*** 11'h227: data = 8'b00000000; // 640 1 ***0*** 11'h228: data = 8'b00000000; // 641 1 ***0*** 11'h229: data = 8'b00000000; // 642 1 ***0*** 11'h22a: data = 8'b00000000; // 643 1 ***0*** 11'h22b: data = 8'b00000000; // 644 1 ***0*** 11'h22c: data = 8'b00000000; // 645 1 ***0*** 11'h22d: data = 8'b00000000; // 646 1 ***0*** 11'h22e: data = 8'b00000000; // 647 1 ***0*** 11'h22f: data = 8'b00000000; // 648 //code x23 649 1 ***0*** 11'h230: data = 8'b00000000; // 650 1 ***0*** 11'h231: data = 8'b00000000; // 651 1 ***0*** 11'h232: data = 8'b00000000; // 652 1 ***0*** 11'h233: data = 8'b01101100; // ** ** 653 1 ***0*** 11'h234: data = 8'b01101100; // ** ** 654 1 ***0*** 11'h235: data = 8'b11111110; // ******* 655 1 ***0*** 11'h236: data = 8'b01101100; // ** ** 656 1 ***0*** 11'h237: data = 8'b01101100; // ** ** 657 1 ***0*** 11'h238: data = 8'b01101100; // ** ** 658 1 ***0*** 11'h239: data = 8'b11111110; // ******* 659 1 ***0*** 11'h23a: data = 8'b01101100; // ** ** 660 1 ***0*** 11'h23b: data = 8'b01101100; // ** ** 661 1 ***0*** 11'h23c: data = 8'b00000000; // 662 1 ***0*** 11'h23d: data = 8'b00000000; // 663 1 ***0*** 11'h23e: data = 8'b00000000; // 664 1 ***0*** 11'h23f: data = 8'b00000000; // 665 //code x24 666 1 ***0*** 11'h240: data = 8'b00011000; // ** 667 1 ***0*** 11'h241: data = 8'b00011000; // ** 668 1 ***0*** 11'h242: data = 8'b01111100; // ***** 669 1 ***0*** 11'h243: data = 8'b11000110; // ** ** 670 1 ***0*** 11'h244: data = 8'b11000010; // ** * 671 1 ***0*** 11'h245: data = 8'b11000000; // ** 672 1 ***0*** 11'h246: data = 8'b01111100; // ***** 673 1 ***0*** 11'h247: data = 8'b00000110; // ** 674 1 ***0*** 11'h248: data = 8'b00000110; // ** 675 1 ***0*** 11'h249: data = 8'b10000110; // * ** 676 1 ***0*** 11'h24a: data = 8'b11000110; // ** ** 677 1 ***0*** 11'h24b: data = 8'b01111100; // ***** 678 1 ***0*** 11'h24c: data = 8'b00011000; // ** 679 1 ***0*** 11'h24d: data = 8'b00011000; // ** 680 1 ***0*** 11'h24e: data = 8'b00000000; // 681 1 ***0*** 11'h24f: data = 8'b00000000; // 682 //code x25 683 1 ***0*** 11'h250: data = 8'b00000000; // 684 1 ***0*** 11'h251: data = 8'b00000000; // 685 1 ***0*** 11'h252: data = 8'b00000000; // 686 1 ***0*** 11'h253: data = 8'b00000000; // 687 1 ***0*** 11'h254: data = 8'b11000010; // ** * 688 1 ***0*** 11'h255: data = 8'b11000110; // ** ** 689 1 ***0*** 11'h256: data = 8'b00001100; // ** 690 1 ***0*** 11'h257: data = 8'b00011000; // ** 691 1 ***0*** 11'h258: data = 8'b00110000; // ** 692 1 ***0*** 11'h259: data = 8'b01100000; // ** 693 1 ***0*** 11'h25a: data = 8'b11000110; // ** ** 694 1 ***0*** 11'h25b: data = 8'b10000110; // * ** 695 1 ***0*** 11'h25c: data = 8'b00000000; // 696 1 ***0*** 11'h25d: data = 8'b00000000; // 697 1 ***0*** 11'h25e: data = 8'b00000000; // 698 1 ***0*** 11'h25f: data = 8'b00000000; // 699 //code x26 700 1 ***0*** 11'h260: data = 8'b00000000; // 701 1 ***0*** 11'h261: data = 8'b00000000; // 702 1 ***0*** 11'h262: data = 8'b00111000; // *** 703 1 ***0*** 11'h263: data = 8'b01101100; // ** ** 704 1 ***0*** 11'h264: data = 8'b01101100; // ** ** 705 1 ***0*** 11'h265: data = 8'b00111000; // *** 706 1 ***0*** 11'h266: data = 8'b01110110; // *** ** 707 1 ***0*** 11'h267: data = 8'b11011100; // ** *** 708 1 ***0*** 11'h268: data = 8'b11001100; // ** ** 709 1 ***0*** 11'h269: data = 8'b11001100; // ** ** 710 1 ***0*** 11'h26a: data = 8'b11001100; // ** ** 711 1 ***0*** 11'h26b: data = 8'b01110110; // *** ** 712 1 ***0*** 11'h26c: data = 8'b00000000; // 713 1 ***0*** 11'h26d: data = 8'b00000000; // 714 1 ***0*** 11'h26e: data = 8'b00000000; // 715 1 ***0*** 11'h26f: data = 8'b00000000; // 716 //code x27 717 1 43 11'h270: data = 8'b00000000; // 718 1 1 11'h271: data = 8'b00110000; // ** 719 1 1 11'h272: data = 8'b00110000; // ** 720 1 1 11'h273: data = 8'b00110000; // ** 721 1 1 11'h274: data = 8'b01100000; // ** 722 1 1 11'h275: data = 8'b00000000; // 723 1 1 11'h276: data = 8'b00000000; // 724 1 1 11'h277: data = 8'b00000000; // 725 1 1 11'h278: data = 8'b00000000; // 726 1 1 11'h279: data = 8'b00000000; // 727 1 1 11'h27a: data = 8'b00000000; // 728 1 1 11'h27b: data = 8'b00000000; // 729 1 1 11'h27c: data = 8'b00000000; // 730 1 1 11'h27d: data = 8'b00000000; // 731 1 1 11'h27e: data = 8'b00000000; // 732 1 1 11'h27f: data = 8'b00000000; // 733 //code x28 734 1 ***0*** 11'h280: data = 8'b00000000; // 735 1 ***0*** 11'h281: data = 8'b00000000; // 736 1 ***0*** 11'h282: data = 8'b00001100; // ** 737 1 ***0*** 11'h283: data = 8'b00011000; // ** 738 1 ***0*** 11'h284: data = 8'b00110000; // ** 739 1 ***0*** 11'h285: data = 8'b00110000; // ** 740 1 ***0*** 11'h286: data = 8'b00110000; // ** 741 1 ***0*** 11'h287: data = 8'b00110000; // ** 742 1 ***0*** 11'h288: data = 8'b00110000; // ** 743 1 ***0*** 11'h289: data = 8'b00110000; // ** 744 1 ***0*** 11'h28a: data = 8'b00011000; // ** 745 1 ***0*** 11'h28b: data = 8'b00001100; // ** 746 1 ***0*** 11'h28c: data = 8'b00000000; // 747 1 ***0*** 11'h28d: data = 8'b00000000; // 748 1 ***0*** 11'h28e: data = 8'b00000000; // 749 1 ***0*** 11'h28f: data = 8'b00000000; // 750 //code x29 751 1 ***0*** 11'h290: data = 8'b00000000; // 752 1 ***0*** 11'h291: data = 8'b00000000; // 753 1 ***0*** 11'h292: data = 8'b00110000; // ** 754 1 ***0*** 11'h293: data = 8'b00011000; // ** 755 1 ***0*** 11'h294: data = 8'b00001100; // ** 756 1 ***0*** 11'h295: data = 8'b00001100; // ** 757 1 ***0*** 11'h296: data = 8'b00001100; // ** 758 1 ***0*** 11'h297: data = 8'b00001100; // ** 759 1 ***0*** 11'h298: data = 8'b00001100; // ** 760 1 ***0*** 11'h299: data = 8'b00001100; // ** 761 1 ***0*** 11'h29a: data = 8'b00011000; // ** 762 1 ***0*** 11'h29b: data = 8'b00110000; // ** 763 1 ***0*** 11'h29c: data = 8'b00000000; // 764 1 ***0*** 11'h29d: data = 8'b00000000; // 765 1 ***0*** 11'h29e: data = 8'b00000000; // 766 1 ***0*** 11'h29f: data = 8'b00000000; // 767 //code x2a 768 1 43 11'h2a0: data = 8'b00000000; // 769 1 1 11'h2a1: data = 8'b00000000; // 770 1 1 11'h2a2: data = 8'b00000000; // 771 1 1 11'h2a3: data = 8'b00000000; // 772 1 1 11'h2a4: data = 8'b00000000; // 773 1 1 11'h2a5: data = 8'b01100110; // ** ** 774 1 1 11'h2a6: data = 8'b00111100; // **** 775 1 1 11'h2a7: data = 8'b11111111; // ******** 776 1 1 11'h2a8: data = 8'b00111100; // **** 777 1 1 11'h2a9: data = 8'b01100110; // ** ** 778 1 1 11'h2aa: data = 8'b00000000; // 779 1 1 11'h2ab: data = 8'b00000000; // 780 1 1 11'h2ac: data = 8'b00000000; // 781 1 1 11'h2ad: data = 8'b00000000; // 782 1 1 11'h2ae: data = 8'b00000000; // 783 1 1 11'h2af: data = 8'b00000000; // 784 //code x2b 785 1 ***0*** 11'h2b0: data = 8'b00000000; // 786 1 ***0*** 11'h2b1: data = 8'b00000000; // 787 1 ***0*** 11'h2b2: data = 8'b00000000; // 788 1 ***0*** 11'h2b3: data = 8'b00000000; // 789 1 ***0*** 11'h2b4: data = 8'b00000000; // 790 1 ***0*** 11'h2b5: data = 8'b00011000; // ** 791 1 ***0*** 11'h2b6: data = 8'b00011000; // ** 792 1 ***0*** 11'h2b7: data = 8'b01111110; // ****** 793 1 ***0*** 11'h2b8: data = 8'b00011000; // ** 794 1 ***0*** 11'h2b9: data = 8'b00011000; // ** 795 1 ***0*** 11'h2ba: data = 8'b00000000; // 796 1 ***0*** 11'h2bb: data = 8'b00000000; // 797 1 ***0*** 11'h2bc: data = 8'b00000000; // 798 1 ***0*** 11'h2bd: data = 8'b00000000; // 799 1 ***0*** 11'h2be: data = 8'b00000000; // 800 1 ***0*** 11'h2bf: data = 8'b00000000; // 801 //code x2c 802 1 ***0*** 11'h2c0: data = 8'b00000000; // 803 1 ***0*** 11'h2c1: data = 8'b00000000; // 804 1 ***0*** 11'h2c2: data = 8'b00000000; // 805 1 ***0*** 11'h2c3: data = 8'b00000000; // 806 1 ***0*** 11'h2c4: data = 8'b00000000; // 807 1 ***0*** 11'h2c5: data = 8'b00000000; // 808 1 ***0*** 11'h2c6: data = 8'b00000000; // 809 1 ***0*** 11'h2c7: data = 8'b00000000; // 810 1 ***0*** 11'h2c8: data = 8'b00000000; // 811 1 ***0*** 11'h2c9: data = 8'b00011000; // ** 812 1 ***0*** 11'h2ca: data = 8'b00011000; // ** 813 1 ***0*** 11'h2cb: data = 8'b00011000; // ** 814 1 ***0*** 11'h2cc: data = 8'b00110000; // ** 815 1 ***0*** 11'h2cd: data = 8'b00000000; // 816 1 ***0*** 11'h2ce: data = 8'b00000000; // 817 1 ***0*** 11'h2cf: data = 8'b00000000; // 818 //code x2d 819 1 ***0*** 11'h2d0: data = 8'b00000000; // 820 1 ***0*** 11'h2d1: data = 8'b00000000; // 821 1 ***0*** 11'h2d2: data = 8'b00000000; // 822 1 ***0*** 11'h2d3: data = 8'b00000000; // 823 1 ***0*** 11'h2d4: data = 8'b00000000; // 824 1 ***0*** 11'h2d5: data = 8'b00000000; // 825 1 ***0*** 11'h2d6: data = 8'b00000000; // 826 1 ***0*** 11'h2d7: data = 8'b01111110; // ****** 827 1 ***0*** 11'h2d8: data = 8'b00000000; // 828 1 ***0*** 11'h2d9: data = 8'b00000000; // 829 1 ***0*** 11'h2da: data = 8'b00000000; // 830 1 ***0*** 11'h2db: data = 8'b00000000; // 831 1 ***0*** 11'h2dc: data = 8'b00000000; // 832 1 ***0*** 11'h2dd: data = 8'b00000000; // 833 1 ***0*** 11'h2de: data = 8'b00000000; // 834 1 ***0*** 11'h2df: data = 8'b00000000; // 835 //code x2e 836 1 ***0*** 11'h2e0: data = 8'b00000000; // 837 1 ***0*** 11'h2e1: data = 8'b00000000; // 838 1 ***0*** 11'h2e2: data = 8'b00000000; // 839 1 ***0*** 11'h2e3: data = 8'b00000000; // 840 1 ***0*** 11'h2e4: data = 8'b00000000; // 841 1 ***0*** 11'h2e5: data = 8'b00000000; // 842 1 ***0*** 11'h2e6: data = 8'b00000000; // 843 1 ***0*** 11'h2e7: data = 8'b00000000; // 844 1 ***0*** 11'h2e8: data = 8'b00000000; // 845 1 ***0*** 11'h2e9: data = 8'b00000000; // 846 1 ***0*** 11'h2ea: data = 8'b00011000; // ** 847 1 ***0*** 11'h2eb: data = 8'b00011000; // ** 848 1 ***0*** 11'h2ec: data = 8'b00000000; // 849 1 ***0*** 11'h2ed: data = 8'b00000000; // 850 1 ***0*** 11'h2ee: data = 8'b00000000; // 851 1 ***0*** 11'h2ef: data = 8'b00000000; // 852 //code x2f 853 1 46 11'h2f0: data = 8'b00000000; // 854 1 2 11'h2f1: data = 8'b00000000; // 855 1 2 11'h2f2: data = 8'b00000000; // 856 1 2 11'h2f3: data = 8'b00000000; // 857 1 2 11'h2f4: data = 8'b00000010; // * 858 1 2 11'h2f5: data = 8'b00000110; // ** 859 1 2 11'h2f6: data = 8'b00001100; // ** 860 1 2 11'h2f7: data = 8'b00011000; // ** 861 1 2 11'h2f8: data = 8'b00110000; // ** 862 1 2 11'h2f9: data = 8'b01100000; // ** 863 1 2 11'h2fa: data = 8'b11000000; // ** 864 1 2 11'h2fb: data = 8'b10000000; // * 865 1 2 11'h2fc: data = 8'b00000000; // 866 1 2 11'h2fd: data = 8'b00000000; // 867 1 2 11'h2fe: data = 8'b00000000; // 868 1 2 11'h2ff: data = 8'b00000000; // 869 //code x30 870 1 43 11'h300: data = 8'b00000000; // 871 1 1 11'h301: data = 8'b00000000; // 872 1 1 11'h302: data = 8'b01111100; // ***** 873 1 1 11'h303: data = 8'b11000110; // ** ** 874 1 1 11'h304: data = 8'b11000110; // ** ** 875 1 1 11'h305: data = 8'b11001110; // ** *** 876 1 1 11'h306: data = 8'b11011110; // ** **** 877 1 1 11'h307: data = 8'b11110110; // **** ** 878 1 1 11'h308: data = 8'b11100110; // *** ** 879 1 1 11'h309: data = 8'b11000110; // ** ** 880 1 1 11'h30a: data = 8'b11000110; // ** ** 881 1 1 11'h30b: data = 8'b01111100; // ***** 882 1 1 11'h30c: data = 8'b00000000; // 883 1 1 11'h30d: data = 8'b00000000; // 884 1 1 11'h30e: data = 8'b00000000; // 885 1 1 11'h30f: data = 8'b00000000; // 886 //code x31 887 1 ***0*** 11'h310: data = 8'b00000000; // 888 1 ***0*** 11'h311: data = 8'b00000000; // 889 1 ***0*** 11'h312: data = 8'b00011000; // 890 1 ***0*** 11'h313: data = 8'b00111000; // 891 1 ***0*** 11'h314: data = 8'b01111000; // ** 892 1 ***0*** 11'h315: data = 8'b00011000; // *** 893 1 ***0*** 11'h316: data = 8'b00011000; // **** 894 1 ***0*** 11'h317: data = 8'b00011000; // ** 895 1 ***0*** 11'h318: data = 8'b00011000; // ** 896 1 ***0*** 11'h319: data = 8'b00011000; // ** 897 1 ***0*** 11'h31a: data = 8'b00011000; // ** 898 1 ***0*** 11'h31b: data = 8'b01111110; // ** 899 1 ***0*** 11'h31c: data = 8'b00000000; // ** 900 1 ***0*** 11'h31d: data = 8'b00000000; // ****** 901 1 ***0*** 11'h31e: data = 8'b00000000; // 902 1 ***0*** 11'h31f: data = 8'b00000000; // 903 //code x32 904 1 ***0*** 11'h320: data = 8'b00000000; // 905 1 ***0*** 11'h321: data = 8'b00000000; // 906 1 ***0*** 11'h322: data = 8'b01111100; // ***** 907 1 ***0*** 11'h323: data = 8'b11000110; // ** ** 908 1 ***0*** 11'h324: data = 8'b00000110; // ** 909 1 ***0*** 11'h325: data = 8'b00001100; // ** 910 1 ***0*** 11'h326: data = 8'b00011000; // ** 911 1 ***0*** 11'h327: data = 8'b00110000; // ** 912 1 ***0*** 11'h328: data = 8'b01100000; // ** 913 1 ***0*** 11'h329: data = 8'b11000000; // ** 914 1 ***0*** 11'h32a: data = 8'b11000110; // ** ** 915 1 ***0*** 11'h32b: data = 8'b11111110; // ******* 916 1 ***0*** 11'h32c: data = 8'b00000000; // 917 1 ***0*** 11'h32d: data = 8'b00000000; // 918 1 ***0*** 11'h32e: data = 8'b00000000; // 919 1 ***0*** 11'h32f: data = 8'b00000000; // 920 //code x33 921 1 ***0*** 11'h330: data = 8'b00000000; // 922 1 ***0*** 11'h331: data = 8'b00000000; // 923 1 ***0*** 11'h332: data = 8'b01111100; // ***** 924 1 ***0*** 11'h333: data = 8'b11000110; // ** ** 925 1 ***0*** 11'h334: data = 8'b00000110; // ** 926 1 ***0*** 11'h335: data = 8'b00000110; // ** 927 1 ***0*** 11'h336: data = 8'b00111100; // **** 928 1 ***0*** 11'h337: data = 8'b00000110; // ** 929 1 ***0*** 11'h338: data = 8'b00000110; // ** 930 1 ***0*** 11'h339: data = 8'b00000110; // ** 931 1 ***0*** 11'h33a: data = 8'b11000110; // ** ** 932 1 ***0*** 11'h33b: data = 8'b01111100; // ***** 933 1 ***0*** 11'h33c: data = 8'b00000000; // 934 1 ***0*** 11'h33d: data = 8'b00000000; // 935 1 ***0*** 11'h33e: data = 8'b00000000; // 936 1 ***0*** 11'h33f: data = 8'b00000000; // 937 //code x34 938 1 ***0*** 11'h340: data = 8'b00000000; // 939 1 ***0*** 11'h341: data = 8'b00000000; // 940 1 ***0*** 11'h342: data = 8'b00001100; // ** 941 1 ***0*** 11'h343: data = 8'b00011100; // *** 942 1 ***0*** 11'h344: data = 8'b00111100; // **** 943 1 ***0*** 11'h345: data = 8'b01101100; // ** ** 944 1 ***0*** 11'h346: data = 8'b11001100; // ** ** 945 1 ***0*** 11'h347: data = 8'b11111110; // ******* 946 1 ***0*** 11'h348: data = 8'b00001100; // ** 947 1 ***0*** 11'h349: data = 8'b00001100; // ** 948 1 ***0*** 11'h34a: data = 8'b00001100; // ** 949 1 ***0*** 11'h34b: data = 8'b00011110; // **** 950 1 ***0*** 11'h34c: data = 8'b00000000; // 951 1 ***0*** 11'h34d: data = 8'b00000000; // 952 1 ***0*** 11'h34e: data = 8'b00000000; // 953 1 ***0*** 11'h34f: data = 8'b00000000; // 954 //code x35 955 1 43 11'h350: data = 8'b00000000; // 956 1 1 11'h351: data = 8'b00000000; // 957 1 1 11'h352: data = 8'b11111110; // ******* 958 1 1 11'h353: data = 8'b11000000; // ** 959 1 1 11'h354: data = 8'b11000000; // ** 960 1 1 11'h355: data = 8'b11000000; // ** 961 1 1 11'h356: data = 8'b11111100; // ****** 962 1 1 11'h357: data = 8'b00000110; // ** 963 1 1 11'h358: data = 8'b00000110; // ** 964 1 1 11'h359: data = 8'b00000110; // ** 965 1 1 11'h35a: data = 8'b11000110; // ** ** 966 1 1 11'h35b: data = 8'b01111100; // ***** 967 1 1 11'h35c: data = 8'b00000000; // 968 1 1 11'h35d: data = 8'b00000000; // 969 1 1 11'h35e: data = 8'b00000000; // 970 1 1 11'h35f: data = 8'b00000000; // 971 //code x36 972 1 ***0*** 11'h360: data = 8'b00000000; // 973 1 ***0*** 11'h361: data = 8'b00000000; // 974 1 ***0*** 11'h362: data = 8'b00111000; // *** 975 1 ***0*** 11'h363: data = 8'b01100000; // ** 976 1 ***0*** 11'h364: data = 8'b11000000; // ** 977 1 ***0*** 11'h365: data = 8'b11000000; // ** 978 1 ***0*** 11'h366: data = 8'b11111100; // ****** 979 1 ***0*** 11'h367: data = 8'b11000110; // ** ** 980 1 ***0*** 11'h368: data = 8'b11000110; // ** ** 981 1 ***0*** 11'h369: data = 8'b11000110; // ** ** 982 1 ***0*** 11'h36a: data = 8'b11000110; // ** ** 983 1 ***0*** 11'h36b: data = 8'b01111100; // ***** 984 1 ***0*** 11'h36c: data = 8'b00000000; // 985 1 ***0*** 11'h36d: data = 8'b00000000; // 986 1 ***0*** 11'h36e: data = 8'b00000000; // 987 1 ***0*** 11'h36f: data = 8'b00000000; // 988 //code x37 989 1 ***0*** 11'h370: data = 8'b00000000; // 990 1 ***0*** 11'h371: data = 8'b00000000; // 991 1 ***0*** 11'h372: data = 8'b11111110; // ******* 992 1 ***0*** 11'h373: data = 8'b11000110; // ** ** 993 1 ***0*** 11'h374: data = 8'b00000110; // ** 994 1 ***0*** 11'h375: data = 8'b00000110; // ** 995 1 ***0*** 11'h376: data = 8'b00001100; // ** 996 1 ***0*** 11'h377: data = 8'b00011000; // ** 997 1 ***0*** 11'h378: data = 8'b00110000; // ** 998 1 ***0*** 11'h379: data = 8'b00110000; // ** 999 1 ***0*** 11'h37a: data = 8'b00110000; // ** 1000 1 ***0*** 11'h37b: data = 8'b00110000; // ** 1001 1 ***0*** 11'h37c: data = 8'b00000000; // 1002 1 ***0*** 11'h37d: data = 8'b00000000; // 1003 1 ***0*** 11'h37e: data = 8'b00000000; // 1004 1 ***0*** 11'h37f: data = 8'b00000000; // 1005 //code x38 1006 1 ***0*** 11'h380: data = 8'b00000000; // 1007 1 ***0*** 11'h381: data = 8'b00000000; // 1008 1 ***0*** 11'h382: data = 8'b01111100; // ***** 1009 1 ***0*** 11'h383: data = 8'b11000110; // ** ** 1010 1 ***0*** 11'h384: data = 8'b11000110; // ** ** 1011 1 ***0*** 11'h385: data = 8'b11000110; // ** ** 1012 1 ***0*** 11'h386: data = 8'b01111100; // ***** 1013 1 ***0*** 11'h387: data = 8'b11000110; // ** ** 1014 1 ***0*** 11'h388: data = 8'b11000110; // ** ** 1015 1 ***0*** 11'h389: data = 8'b11000110; // ** ** 1016 1 ***0*** 11'h38a: data = 8'b11000110; // ** ** 1017 1 ***0*** 11'h38b: data = 8'b01111100; // ***** 1018 1 ***0*** 11'h38c: data = 8'b00000000; // 1019 1 ***0*** 11'h38d: data = 8'b00000000; // 1020 1 ***0*** 11'h38e: data = 8'b00000000; // 1021 1 ***0*** 11'h38f: data = 8'b00000000; // 1022 //code x39 1023 1 ***0*** 11'h390: data = 8'b00000000; // 1024 1 ***0*** 11'h391: data = 8'b00000000; // 1025 1 ***0*** 11'h392: data = 8'b01111100; // ***** 1026 1 ***0*** 11'h393: data = 8'b11000110; // ** ** 1027 1 ***0*** 11'h394: data = 8'b11000110; // ** ** 1028 1 ***0*** 11'h395: data = 8'b11000110; // ** ** 1029 1 ***0*** 11'h396: data = 8'b01111110; // ****** 1030 1 ***0*** 11'h397: data = 8'b00000110; // ** 1031 1 ***0*** 11'h398: data = 8'b00000110; // ** 1032 1 ***0*** 11'h399: data = 8'b00000110; // ** 1033 1 ***0*** 11'h39a: data = 8'b00001100; // ** 1034 1 ***0*** 11'h39b: data = 8'b01111000; // **** 1035 1 ***0*** 11'h39c: data = 8'b00000000; // 1036 1 ***0*** 11'h39d: data = 8'b00000000; // 1037 1 ***0*** 11'h39e: data = 8'b00000000; // 1038 1 ***0*** 11'h39f: data = 8'b00000000; // 1039 //code x3a 1040 1 43 11'h3a0: data = 8'b00000000; // 1041 1 1 11'h3a1: data = 8'b00000000; // 1042 1 1 11'h3a2: data = 8'b00000000; // 1043 1 1 11'h3a3: data = 8'b00000000; // 1044 1 1 11'h3a4: data = 8'b00011000; // ** 1045 1 1 11'h3a5: data = 8'b00011000; // ** 1046 1 1 11'h3a6: data = 8'b00000000; // 1047 1 1 11'h3a7: data = 8'b00000000; // 1048 1 1 11'h3a8: data = 8'b00000000; // 1049 1 1 11'h3a9: data = 8'b00011000; // ** 1050 1 1 11'h3aa: data = 8'b00011000; // ** 1051 1 1 11'h3ab: data = 8'b00000000; // 1052 1 1 11'h3ac: data = 8'b00000000; // 1053 1 1 11'h3ad: data = 8'b00000000; // 1054 1 1 11'h3ae: data = 8'b00000000; // 1055 1 1 11'h3af: data = 8'b00000000; // 1056 //code x3b 1057 1 ***0*** 11'h3b0: data = 8'b00000000; // 1058 1 ***0*** 11'h3b1: data = 8'b00000000; // 1059 1 ***0*** 11'h3b2: data = 8'b00000000; // 1060 1 ***0*** 11'h3b3: data = 8'b00000000; // 1061 1 ***0*** 11'h3b4: data = 8'b00011000; // ** 1062 1 ***0*** 11'h3b5: data = 8'b00011000; // ** 1063 1 ***0*** 11'h3b6: data = 8'b00000000; // 1064 1 ***0*** 11'h3b7: data = 8'b00000000; // 1065 1 ***0*** 11'h3b8: data = 8'b00000000; // 1066 1 ***0*** 11'h3b9: data = 8'b00011000; // ** 1067 1 ***0*** 11'h3ba: data = 8'b00011000; // ** 1068 1 ***0*** 11'h3bb: data = 8'b00110000; // ** 1069 1 ***0*** 11'h3bc: data = 8'b00000000; // 1070 1 ***0*** 11'h3bd: data = 8'b00000000; // 1071 1 ***0*** 11'h3be: data = 8'b00000000; // 1072 1 ***0*** 11'h3bf: data = 8'b00000000; // 1073 //code x3c 1074 1 ***0*** 11'h3c0: data = 8'b00000000; // 1075 1 ***0*** 11'h3c1: data = 8'b00000000; // 1076 1 ***0*** 11'h3c2: data = 8'b00000000; // 1077 1 ***0*** 11'h3c3: data = 8'b00000110; // ** 1078 1 ***0*** 11'h3c4: data = 8'b00001100; // ** 1079 1 ***0*** 11'h3c5: data = 8'b00011000; // ** 1080 1 ***0*** 11'h3c6: data = 8'b00110000; // ** 1081 1 ***0*** 11'h3c7: data = 8'b01100000; // ** 1082 1 ***0*** 11'h3c8: data = 8'b00110000; // ** 1083 1 ***0*** 11'h3c9: data = 8'b00011000; // ** 1084 1 ***0*** 11'h3ca: data = 8'b00001100; // ** 1085 1 ***0*** 11'h3cb: data = 8'b00000110; // ** 1086 1 ***0*** 11'h3cc: data = 8'b00000000; // 1087 1 ***0*** 11'h3cd: data = 8'b00000000; // 1088 1 ***0*** 11'h3ce: data = 8'b00000000; // 1089 1 ***0*** 11'h3cf: data = 8'b00000000; // 1090 //code x3d 1091 1 ***0*** 11'h3d0: data = 8'b00000000; // 1092 1 ***0*** 11'h3d1: data = 8'b00000000; // 1093 1 ***0*** 11'h3d2: data = 8'b00000000; // 1094 1 ***0*** 11'h3d3: data = 8'b00000000; // 1095 1 ***0*** 11'h3d4: data = 8'b00000000; // 1096 1 ***0*** 11'h3d5: data = 8'b01111110; // ****** 1097 1 ***0*** 11'h3d6: data = 8'b00000000; // 1098 1 ***0*** 11'h3d7: data = 8'b00000000; // 1099 1 ***0*** 11'h3d8: data = 8'b01111110; // ****** 1100 1 ***0*** 11'h3d9: data = 8'b00000000; // 1101 1 ***0*** 11'h3da: data = 8'b00000000; // 1102 1 ***0*** 11'h3db: data = 8'b00000000; // 1103 1 ***0*** 11'h3dc: data = 8'b00000000; // 1104 1 ***0*** 11'h3dd: data = 8'b00000000; // 1105 1 ***0*** 11'h3de: data = 8'b00000000; // 1106 1 ***0*** 11'h3df: data = 8'b00000000; // 1107 //code x3e 1108 1 ***0*** 11'h3e0: data = 8'b00000000; // 1109 1 ***0*** 11'h3e1: data = 8'b00000000; // 1110 1 ***0*** 11'h3e2: data = 8'b00000000; // 1111 1 ***0*** 11'h3e3: data = 8'b01100000; // ** 1112 1 ***0*** 11'h3e4: data = 8'b00110000; // ** 1113 1 ***0*** 11'h3e5: data = 8'b00011000; // ** 1114 1 ***0*** 11'h3e6: data = 8'b00001100; // ** 1115 1 ***0*** 11'h3e7: data = 8'b00000110; // ** 1116 1 ***0*** 11'h3e8: data = 8'b00001100; // ** 1117 1 ***0*** 11'h3e9: data = 8'b00011000; // ** 1118 1 ***0*** 11'h3ea: data = 8'b00110000; // ** 1119 1 ***0*** 11'h3eb: data = 8'b01100000; // ** 1120 1 ***0*** 11'h3ec: data = 8'b00000000; // 1121 1 ***0*** 11'h3ed: data = 8'b00000000; // 1122 1 ***0*** 11'h3ee: data = 8'b00000000; // 1123 1 ***0*** 11'h3ef: data = 8'b00000000; // 1124 //code x3f 1125 1 43 11'h3f0: data = 8'b00000000; // 1126 1 1 11'h3f1: data = 8'b00000000; // 1127 1 1 11'h3f2: data = 8'b01111100; // ***** 1128 1 1 11'h3f3: data = 8'b11000110; // ** ** 1129 1 1 11'h3f4: data = 8'b11000110; // ** ** 1130 1 1 11'h3f5: data = 8'b00001100; // ** 1131 1 1 11'h3f6: data = 8'b00011000; // ** 1132 1 1 11'h3f7: data = 8'b00011000; // ** 1133 1 1 11'h3f8: data = 8'b00011000; // ** 1134 1 1 11'h3f9: data = 8'b00000000; // 1135 1 1 11'h3fa: data = 8'b00011000; // ** 1136 1 1 11'h3fb: data = 8'b00011000; // ** 1137 1 1 11'h3fc: data = 8'b00000000; // 1138 1 1 11'h3fd: data = 8'b00000000; // 1139 1 1 11'h3fe: data = 8'b00000000; // 1140 1 1 11'h3ff: data = 8'b00000000; // 1141 //code x40 1142 1 ***0*** 11'h400: data = 8'b00000000; // 1143 1 ***0*** 11'h401: data = 8'b00000000; // 1144 1 ***0*** 11'h402: data = 8'b01111100; // ***** 1145 1 ***0*** 11'h403: data = 8'b11000110; // ** ** 1146 1 ***0*** 11'h404: data = 8'b11000110; // ** ** 1147 1 ***0*** 11'h405: data = 8'b11000110; // ** ** 1148 1 ***0*** 11'h406: data = 8'b11011110; // ** **** 1149 1 ***0*** 11'h407: data = 8'b11011110; // ** **** 1150 1 ***0*** 11'h408: data = 8'b11011110; // ** **** 1151 1 ***0*** 11'h409: data = 8'b11011100; // ** *** 1152 1 ***0*** 11'h40a: data = 8'b11000000; // ** 1153 1 ***0*** 11'h40b: data = 8'b01111100; // ***** 1154 1 ***0*** 11'h40c: data = 8'b00000000; // 1155 1 ***0*** 11'h40d: data = 8'b00000000; // 1156 1 ***0*** 11'h40e: data = 8'b00000000; // 1157 1 ***0*** 11'h40f: data = 8'b00000000; // 1158 //code x41 1159 1 ***0*** 11'h410: data = 8'b00000000; // 1160 1 ***0*** 11'h411: data = 8'b00000000; // 1161 1 ***0*** 11'h412: data = 8'b00010000; // * 1162 1 ***0*** 11'h413: data = 8'b00111000; // *** 1163 1 ***0*** 11'h414: data = 8'b01101100; // ** ** 1164 1 ***0*** 11'h415: data = 8'b11000110; // ** ** 1165 1 ***0*** 11'h416: data = 8'b11000110; // ** ** 1166 1 ***0*** 11'h417: data = 8'b11111110; // ******* 1167 1 ***0*** 11'h418: data = 8'b11000110; // ** ** 1168 1 ***0*** 11'h419: data = 8'b11000110; // ** ** 1169 1 ***0*** 11'h41a: data = 8'b11000110; // ** ** 1170 1 ***0*** 11'h41b: data = 8'b11000110; // ** ** 1171 1 ***0*** 11'h41c: data = 8'b00000000; // 1172 1 ***0*** 11'h41d: data = 8'b00000000; // 1173 1 ***0*** 11'h41e: data = 8'b00000000; // 1174 1 ***0*** 11'h41f: data = 8'b00000000; // 1175 //code x42 1176 1 ***0*** 11'h420: data = 8'b00000000; // 1177 1 ***0*** 11'h421: data = 8'b00000000; // 1178 1 ***0*** 11'h422: data = 8'b11111100; // ****** 1179 1 ***0*** 11'h423: data = 8'b01100110; // ** ** 1180 1 ***0*** 11'h424: data = 8'b01100110; // ** ** 1181 1 ***0*** 11'h425: data = 8'b01100110; // ** ** 1182 1 ***0*** 11'h426: data = 8'b01111100; // ***** 1183 1 ***0*** 11'h427: data = 8'b01100110; // ** ** 1184 1 ***0*** 11'h428: data = 8'b01100110; // ** ** 1185 1 ***0*** 11'h429: data = 8'b01100110; // ** ** 1186 1 ***0*** 11'h42a: data = 8'b01100110; // ** ** 1187 1 ***0*** 11'h42b: data = 8'b11111100; // ****** 1188 1 ***0*** 11'h42c: data = 8'b00000000; // 1189 1 ***0*** 11'h42d: data = 8'b00000000; // 1190 1 ***0*** 11'h42e: data = 8'b00000000; // 1191 1 ***0*** 11'h42f: data = 8'b00000000; // 1192 //code x43 1193 1 43 11'h430: data = 8'b00000000; // 1194 1 1 11'h431: data = 8'b00000000; // 1195 1 1 11'h432: data = 8'b00111100; // **** 1196 1 1 11'h433: data = 8'b01100110; // ** ** 1197 1 1 11'h434: data = 8'b11000010; // ** * 1198 1 1 11'h435: data = 8'b11000000; // ** 1199 1 1 11'h436: data = 8'b11000000; // ** 1200 1 1 11'h437: data = 8'b11000000; // ** 1201 1 1 11'h438: data = 8'b11000000; // ** 1202 1 1 11'h439: data = 8'b11000010; // ** * 1203 1 1 11'h43a: data = 8'b01100110; // ** ** 1204 1 1 11'h43b: data = 8'b00111100; // **** 1205 1 1 11'h43c: data = 8'b00000000; // 1206 1 1 11'h43d: data = 8'b00000000; // 1207 1 1 11'h43e: data = 8'b00000000; // 1208 1 1 11'h43f: data = 8'b00000000; // 1209 //code x44 1210 1 ***0*** 11'h440: data = 8'b00000000; // 1211 1 ***0*** 11'h441: data = 8'b00000000; // 1212 1 ***0*** 11'h442: data = 8'b11111000; // ***** 1213 1 ***0*** 11'h443: data = 8'b01101100; // ** ** 1214 1 ***0*** 11'h444: data = 8'b01100110; // ** ** 1215 1 ***0*** 11'h445: data = 8'b01100110; // ** ** 1216 1 ***0*** 11'h446: data = 8'b01100110; // ** ** 1217 1 ***0*** 11'h447: data = 8'b01100110; // ** ** 1218 1 ***0*** 11'h448: data = 8'b01100110; // ** ** 1219 1 ***0*** 11'h449: data = 8'b01100110; // ** ** 1220 1 ***0*** 11'h44a: data = 8'b01101100; // ** ** 1221 1 ***0*** 11'h44b: data = 8'b11111000; // ***** 1222 1 ***0*** 11'h44c: data = 8'b00000000; // 1223 1 ***0*** 11'h44d: data = 8'b00000000; // 1224 1 ***0*** 11'h44e: data = 8'b00000000; // 1225 1 ***0*** 11'h44f: data = 8'b00000000; // 1226 //code x45 1227 1 ***0*** 11'h450: data = 8'b00000000; // 1228 1 ***0*** 11'h451: data = 8'b00000000; // 1229 1 ***0*** 11'h452: data = 8'b11111110; // ******* 1230 1 ***0*** 11'h453: data = 8'b01100110; // ** ** 1231 1 ***0*** 11'h454: data = 8'b01100010; // ** * 1232 1 ***0*** 11'h455: data = 8'b01101000; // ** * 1233 1 ***0*** 11'h456: data = 8'b01111000; // **** 1234 1 ***0*** 11'h457: data = 8'b01101000; // ** * 1235 1 ***0*** 11'h458: data = 8'b01100000; // ** 1236 1 ***0*** 11'h459: data = 8'b01100010; // ** * 1237 1 ***0*** 11'h45a: data = 8'b01100110; // ** ** 1238 1 ***0*** 11'h45b: data = 8'b11111110; // ******* 1239 1 ***0*** 11'h45c: data = 8'b00000000; // 1240 1 ***0*** 11'h45d: data = 8'b00000000; // 1241 1 ***0*** 11'h45e: data = 8'b00000000; // 1242 1 ***0*** 11'h45f: data = 8'b00000000; // 1243 //code x46 1244 1 ***0*** 11'h460: data = 8'b00000000; // 1245 1 ***0*** 11'h461: data = 8'b00000000; // 1246 1 ***0*** 11'h462: data = 8'b11111110; // ******* 1247 1 ***0*** 11'h463: data = 8'b01100110; // ** ** 1248 1 ***0*** 11'h464: data = 8'b01100010; // ** * 1249 1 ***0*** 11'h465: data = 8'b01101000; // ** * 1250 1 ***0*** 11'h466: data = 8'b01111000; // **** 1251 1 ***0*** 11'h467: data = 8'b01101000; // ** * 1252 1 ***0*** 11'h468: data = 8'b01100000; // ** 1253 1 ***0*** 11'h469: data = 8'b01100000; // ** 1254 1 ***0*** 11'h46a: data = 8'b01100000; // ** 1255 1 ***0*** 11'h46b: data = 8'b11110000; // **** 1256 1 ***0*** 11'h46c: data = 8'b00000000; // 1257 1 ***0*** 11'h46d: data = 8'b00000000; // 1258 1 ***0*** 11'h46e: data = 8'b00000000; // 1259 1 ***0*** 11'h46f: data = 8'b00000000; // 1260 //code x47 1261 1 ***0*** 11'h470: data = 8'b00000000; // 1262 1 ***0*** 11'h471: data = 8'b00000000; // 1263 1 ***0*** 11'h472: data = 8'b00111100; // **** 1264 1 ***0*** 11'h473: data = 8'b01100110; // ** ** 1265 1 ***0*** 11'h474: data = 8'b11000010; // ** * 1266 1 ***0*** 11'h475: data = 8'b11000000; // ** 1267 1 ***0*** 11'h476: data = 8'b11000000; // ** 1268 1 ***0*** 11'h477: data = 8'b11011110; // ** **** 1269 1 ***0*** 11'h478: data = 8'b11000110; // ** ** 1270 1 ***0*** 11'h479: data = 8'b11000110; // ** ** 1271 1 ***0*** 11'h47a: data = 8'b01100110; // ** ** 1272 1 ***0*** 11'h47b: data = 8'b00111010; // *** * 1273 1 ***0*** 11'h47c: data = 8'b00000000; // 1274 1 ***0*** 11'h47d: data = 8'b00000000; // 1275 1 ***0*** 11'h47e: data = 8'b00000000; // 1276 1 ***0*** 11'h47f: data = 8'b00000000; // 1277 //code x48 1278 1 43 11'h480: data = 8'b00000000; // 1279 1 1 11'h481: data = 8'b00000000; // 1280 1 1 11'h482: data = 8'b11000110; // ** ** 1281 1 1 11'h483: data = 8'b11000110; // ** ** 1282 1 1 11'h484: data = 8'b11000110; // ** ** 1283 1 1 11'h485: data = 8'b11000110; // ** ** 1284 1 1 11'h486: data = 8'b11111110; // ******* 1285 1 1 11'h487: data = 8'b11000110; // ** ** 1286 1 1 11'h488: data = 8'b11000110; // ** ** 1287 1 1 11'h489: data = 8'b11000110; // ** ** 1288 1 1 11'h48a: data = 8'b11000110; // ** ** 1289 1 1 11'h48b: data = 8'b11000110; // ** ** 1290 1 1 11'h48c: data = 8'b00000000; // 1291 1 1 11'h48d: data = 8'b00000000; // 1292 1 1 11'h48e: data = 8'b00000000; // 1293 1 1 11'h48f: data = 8'b00000000; // 1294 //code x49 1295 1 ***0*** 11'h490: data = 8'b00000000; // 1296 1 ***0*** 11'h491: data = 8'b00000000; // 1297 1 ***0*** 11'h492: data = 8'b00111100; // **** 1298 1 ***0*** 11'h493: data = 8'b00011000; // ** 1299 1 ***0*** 11'h494: data = 8'b00011000; // ** 1300 1 ***0*** 11'h495: data = 8'b00011000; // ** 1301 1 ***0*** 11'h496: data = 8'b00011000; // ** 1302 1 ***0*** 11'h497: data = 8'b00011000; // ** 1303 1 ***0*** 11'h498: data = 8'b00011000; // ** 1304 1 ***0*** 11'h499: data = 8'b00011000; // ** 1305 1 ***0*** 11'h49a: data = 8'b00011000; // ** 1306 1 ***0*** 11'h49b: data = 8'b00111100; // **** 1307 1 ***0*** 11'h49c: data = 8'b00000000; // 1308 1 ***0*** 11'h49d: data = 8'b00000000; // 1309 1 ***0*** 11'h49e: data = 8'b00000000; // 1310 1 ***0*** 11'h49f: data = 8'b00000000; // 1311 //code x4a 1312 1 ***0*** 11'h4a0: data = 8'b00000000; // 1313 1 ***0*** 11'h4a1: data = 8'b00000000; // 1314 1 ***0*** 11'h4a2: data = 8'b00011110; // **** 1315 1 ***0*** 11'h4a3: data = 8'b00001100; // ** 1316 1 ***0*** 11'h4a4: data = 8'b00001100; // ** 1317 1 ***0*** 11'h4a5: data = 8'b00001100; // ** 1318 1 ***0*** 11'h4a6: data = 8'b00001100; // ** 1319 1 ***0*** 11'h4a7: data = 8'b00001100; // ** 1320 1 ***0*** 11'h4a8: data = 8'b11001100; // ** ** 1321 1 ***0*** 11'h4a9: data = 8'b11001100; // ** ** 1322 1 ***0*** 11'h4aa: data = 8'b11001100; // ** ** 1323 1 ***0*** 11'h4ab: data = 8'b01111000; // **** 1324 1 ***0*** 11'h4ac: data = 8'b00000000; // 1325 1 ***0*** 11'h4ad: data = 8'b00000000; // 1326 1 ***0*** 11'h4ae: data = 8'b00000000; // 1327 1 ***0*** 11'h4af: data = 8'b00000000; // 1328 //code x4b 1329 1 ***0*** 11'h4b0: data = 8'b00000000; // 1330 1 ***0*** 11'h4b1: data = 8'b00000000; // 1331 1 ***0*** 11'h4b2: data = 8'b11100110; // *** ** 1332 1 ***0*** 11'h4b3: data = 8'b01100110; // ** ** 1333 1 ***0*** 11'h4b4: data = 8'b01100110; // ** ** 1334 1 ***0*** 11'h4b5: data = 8'b01101100; // ** ** 1335 1 ***0*** 11'h4b6: data = 8'b01111000; // **** 1336 1 ***0*** 11'h4b7: data = 8'b01111000; // **** 1337 1 ***0*** 11'h4b8: data = 8'b01101100; // ** ** 1338 1 ***0*** 11'h4b9: data = 8'b01100110; // ** ** 1339 1 ***0*** 11'h4ba: data = 8'b01100110; // ** ** 1340 1 ***0*** 11'h4bb: data = 8'b11100110; // *** ** 1341 1 ***0*** 11'h4bc: data = 8'b00000000; // 1342 1 ***0*** 11'h4bd: data = 8'b00000000; // 1343 1 ***0*** 11'h4be: data = 8'b00000000; // 1344 1 ***0*** 11'h4bf: data = 8'b00000000; // 1345 //code x4c 1346 1 ***0*** 11'h4c0: data = 8'b00000000; // 1347 1 ***0*** 11'h4c1: data = 8'b00000000; // 1348 1 ***0*** 11'h4c2: data = 8'b11110000; // **** 1349 1 ***0*** 11'h4c3: data = 8'b01100000; // ** 1350 1 ***0*** 11'h4c4: data = 8'b01100000; // ** 1351 1 ***0*** 11'h4c5: data = 8'b01100000; // ** 1352 1 ***0*** 11'h4c6: data = 8'b01100000; // ** 1353 1 ***0*** 11'h4c7: data = 8'b01100000; // ** 1354 1 ***0*** 11'h4c8: data = 8'b01100000; // ** 1355 1 ***0*** 11'h4c9: data = 8'b01100010; // ** * 1356 1 ***0*** 11'h4ca: data = 8'b01100110; // ** ** 1357 1 ***0*** 11'h4cb: data = 8'b11111110; // ******* 1358 1 ***0*** 11'h4cc: data = 8'b00000000; // 1359 1 ***0*** 11'h4cd: data = 8'b00000000; // 1360 1 ***0*** 11'h4ce: data = 8'b00000000; // 1361 1 ***0*** 11'h4cf: data = 8'b00000000; // 1362 //code x4d 1363 1 43 11'h4d0: data = 8'b00000000; // 1364 1 1 11'h4d1: data = 8'b00000000; // 1365 1 1 11'h4d2: data = 8'b11000011; // ** ** 1366 1 1 11'h4d3: data = 8'b11100111; // *** *** 1367 1 1 11'h4d4: data = 8'b11111111; // ******** 1368 1 1 11'h4d5: data = 8'b11111111; // ******** 1369 1 1 11'h4d6: data = 8'b11011011; // ** ** ** 1370 1 1 11'h4d7: data = 8'b11000011; // ** ** 1371 1 1 11'h4d8: data = 8'b11000011; // ** ** 1372 1 1 11'h4d9: data = 8'b11000011; // ** ** 1373 1 1 11'h4da: data = 8'b11000011; // ** ** 1374 1 1 11'h4db: data = 8'b11000011; // ** ** 1375 1 1 11'h4dc: data = 8'b00000000; // 1376 1 1 11'h4dd: data = 8'b00000000; // 1377 1 1 11'h4de: data = 8'b00000000; // 1378 1 1 11'h4df: data = 8'b00000000; // 1379 //code x4e 1380 1 ***0*** 11'h4e0: data = 8'b00000000; // 1381 1 ***0*** 11'h4e1: data = 8'b00000000; // 1382 1 ***0*** 11'h4e2: data = 8'b11000110; // ** ** 1383 1 ***0*** 11'h4e3: data = 8'b11100110; // *** ** 1384 1 ***0*** 11'h4e4: data = 8'b11110110; // **** ** 1385 1 ***0*** 11'h4e5: data = 8'b11111110; // ******* 1386 1 ***0*** 11'h4e6: data = 8'b11011110; // ** **** 1387 1 ***0*** 11'h4e7: data = 8'b11001110; // ** *** 1388 1 ***0*** 11'h4e8: data = 8'b11000110; // ** ** 1389 1 ***0*** 11'h4e9: data = 8'b11000110; // ** ** 1390 1 ***0*** 11'h4ea: data = 8'b11000110; // ** ** 1391 1 ***0*** 11'h4eb: data = 8'b11000110; // ** ** 1392 1 ***0*** 11'h4ec: data = 8'b00000000; // 1393 1 ***0*** 11'h4ed: data = 8'b00000000; // 1394 1 ***0*** 11'h4ee: data = 8'b00000000; // 1395 1 ***0*** 11'h4ef: data = 8'b00000000; // 1396 //code x4f 1397 1 ***0*** 11'h4f0: data = 8'b00000000; // 1398 1 ***0*** 11'h4f1: data = 8'b00000000; // 1399 1 ***0*** 11'h4f2: data = 8'b01111100; // ***** 1400 1 ***0*** 11'h4f3: data = 8'b11000110; // ** ** 1401 1 ***0*** 11'h4f4: data = 8'b11000110; // ** ** 1402 1 ***0*** 11'h4f5: data = 8'b11000110; // ** ** 1403 1 ***0*** 11'h4f6: data = 8'b11000110; // ** ** 1404 1 ***0*** 11'h4f7: data = 8'b11000110; // ** ** 1405 1 ***0*** 11'h4f8: data = 8'b11000110; // ** ** 1406 1 ***0*** 11'h4f9: data = 8'b11000110; // ** ** 1407 1 ***0*** 11'h4fa: data = 8'b11000110; // ** ** 1408 1 ***0*** 11'h4fb: data = 8'b01111100; // ***** 1409 1 ***0*** 11'h4fc: data = 8'b00000000; // 1410 1 ***0*** 11'h4fd: data = 8'b00000000; // 1411 1 ***0*** 11'h4fe: data = 8'b00000000; // 1412 1 ***0*** 11'h4ff: data = 8'b00000000; // 1413 //code x50 1414 1 ***0*** 11'h500: data = 8'b00000000; // 1415 1 ***0*** 11'h501: data = 8'b00000000; // 1416 1 ***0*** 11'h502: data = 8'b11111100; // ****** 1417 1 ***0*** 11'h503: data = 8'b01100110; // ** ** 1418 1 ***0*** 11'h504: data = 8'b01100110; // ** ** 1419 1 ***0*** 11'h505: data = 8'b01100110; // ** ** 1420 1 ***0*** 11'h506: data = 8'b01111100; // ***** 1421 1 ***0*** 11'h507: data = 8'b01100000; // ** 1422 1 ***0*** 11'h508: data = 8'b01100000; // ** 1423 1 ***0*** 11'h509: data = 8'b01100000; // ** 1424 1 ***0*** 11'h50a: data = 8'b01100000; // ** 1425 1 ***0*** 11'h50b: data = 8'b11110000; // **** 1426 1 ***0*** 11'h50c: data = 8'b00000000; // 1427 1 ***0*** 11'h50d: data = 8'b00000000; // 1428 1 ***0*** 11'h50e: data = 8'b00000000; // 1429 1 ***0*** 11'h50f: data = 8'b00000000; // 1430 //code x510f 1431 1 ***0*** 11'h510: data = 8'b00000000; // 1432 1 ***0*** 11'h511: data = 8'b00000000; // 1433 1 ***0*** 11'h512: data = 8'b01111100; // ***** 1434 1 ***0*** 11'h513: data = 8'b11000110; // ** ** 1435 1 ***0*** 11'h514: data = 8'b11000110; // ** ** 1436 1 ***0*** 11'h515: data = 8'b11000110; // ** ** 1437 1 ***0*** 11'h516: data = 8'b11000110; // ** ** 1438 1 ***0*** 11'h517: data = 8'b11000110; // ** ** 1439 1 ***0*** 11'h518: data = 8'b11000110; // ** ** 1440 1 ***0*** 11'h519: data = 8'b11010110; // ** * ** 1441 1 ***0*** 11'h51a: data = 8'b11011110; // ** **** 1442 1 ***0*** 11'h51b: data = 8'b01111100; // ***** 1443 1 ***0*** 11'h51c: data = 8'b00001100; // ** 1444 1 ***0*** 11'h51d: data = 8'b00001110; // *** 1445 1 ***0*** 11'h51e: data = 8'b00000000; // 1446 1 ***0*** 11'h51f: data = 8'b00000000; // 1447 //code x52 1448 1 ***0*** 11'h520: data = 8'b00000000; // 1449 1 ***0*** 11'h521: data = 8'b00000000; // 1450 1 ***0*** 11'h522: data = 8'b11111100; // ****** 1451 1 ***0*** 11'h523: data = 8'b01100110; // ** ** 1452 1 ***0*** 11'h524: data = 8'b01100110; // ** ** 1453 1 ***0*** 11'h525: data = 8'b01100110; // ** ** 1454 1 ***0*** 11'h526: data = 8'b01111100; // ***** 1455 1 ***0*** 11'h527: data = 8'b01101100; // ** ** 1456 1 ***0*** 11'h528: data = 8'b01100110; // ** ** 1457 1 ***0*** 11'h529: data = 8'b01100110; // ** ** 1458 1 ***0*** 11'h52a: data = 8'b01100110; // ** ** 1459 1 ***0*** 11'h52b: data = 8'b11100110; // *** ** 1460 1 ***0*** 11'h52c: data = 8'b00000000; // 1461 1 ***0*** 11'h52d: data = 8'b00000000; // 1462 1 ***0*** 11'h52e: data = 8'b00000000; // 1463 1 ***0*** 11'h52f: data = 8'b00000000; // 1464 //code x53 1465 1 43 11'h530: data = 8'b00000000; // 1466 1 1 11'h531: data = 8'b00000000; // 1467 1 1 11'h532: data = 8'b01111100; // ***** 1468 1 1 11'h533: data = 8'b11000110; // ** ** 1469 1 1 11'h534: data = 8'b11000110; // ** ** 1470 1 1 11'h535: data = 8'b01100000; // ** 1471 1 1 11'h536: data = 8'b00111000; // *** 1472 1 1 11'h537: data = 8'b00001100; // ** 1473 1 1 11'h538: data = 8'b00000110; // ** 1474 1 1 11'h539: data = 8'b11000110; // ** ** 1475 1 1 11'h53a: data = 8'b11000110; // ** ** 1476 1 1 11'h53b: data = 8'b01111100; // ***** 1477 1 1 11'h53c: data = 8'b00000000; // 1478 1 1 11'h53d: data = 8'b00000000; // 1479 1 1 11'h53e: data = 8'b00000000; // 1480 1 1 11'h53f: data = 8'b00000000; // 1481 //code x54 1482 1 ***0*** 11'h540: data = 8'b00000000; // 1483 1 ***0*** 11'h541: data = 8'b00000000; // 1484 1 ***0*** 11'h542: data = 8'b11111111; // ******** 1485 1 ***0*** 11'h543: data = 8'b11011011; // ** ** ** 1486 1 ***0*** 11'h544: data = 8'b10011001; // * ** * 1487 1 ***0*** 11'h545: data = 8'b00011000; // ** 1488 1 ***0*** 11'h546: data = 8'b00011000; // ** 1489 1 ***0*** 11'h547: data = 8'b00011000; // ** 1490 1 ***0*** 11'h548: data = 8'b00011000; // ** 1491 1 ***0*** 11'h549: data = 8'b00011000; // ** 1492 1 ***0*** 11'h54a: data = 8'b00011000; // ** 1493 1 ***0*** 11'h54b: data = 8'b00111100; // **** 1494 1 ***0*** 11'h54c: data = 8'b00000000; // 1495 1 ***0*** 11'h54d: data = 8'b00000000; // 1496 1 ***0*** 11'h54e: data = 8'b00000000; // 1497 1 ***0*** 11'h54f: data = 8'b00000000; // 1498 //code x55 1499 1 ***0*** 11'h550: data = 8'b00000000; // 1500 1 ***0*** 11'h551: data = 8'b00000000; // 1501 1 ***0*** 11'h552: data = 8'b11000110; // ** ** 1502 1 ***0*** 11'h553: data = 8'b11000110; // ** ** 1503 1 ***0*** 11'h554: data = 8'b11000110; // ** ** 1504 1 ***0*** 11'h555: data = 8'b11000110; // ** ** 1505 1 ***0*** 11'h556: data = 8'b11000110; // ** ** 1506 1 ***0*** 11'h557: data = 8'b11000110; // ** ** 1507 1 ***0*** 11'h558: data = 8'b11000110; // ** ** 1508 1 ***0*** 11'h559: data = 8'b11000110; // ** ** 1509 1 ***0*** 11'h55a: data = 8'b11000110; // ** ** 1510 1 ***0*** 11'h55b: data = 8'b01111100; // ***** 1511 1 ***0*** 11'h55c: data = 8'b00000000; // 1512 1 ***0*** 11'h55d: data = 8'b00000000; // 1513 1 ***0*** 11'h55e: data = 8'b00000000; // 1514 1 ***0*** 11'h55f: data = 8'b00000000; // 1515 //code x56 1516 1 43 11'h560: data = 8'b00000000; // 1517 1 1 11'h561: data = 8'b00000000; // 1518 1 1 11'h562: data = 8'b11000011; // ** ** 1519 1 1 11'h563: data = 8'b11000011; // ** ** 1520 1 1 11'h564: data = 8'b11000011; // ** ** 1521 1 1 11'h565: data = 8'b11000011; // ** ** 1522 1 1 11'h566: data = 8'b11000011; // ** ** 1523 1 1 11'h567: data = 8'b11000011; // ** ** 1524 1 1 11'h568: data = 8'b11000011; // ** ** 1525 1 1 11'h569: data = 8'b01100110; // ** ** 1526 1 1 11'h56a: data = 8'b00111100; // **** 1527 1 1 11'h56b: data = 8'b00011000; // ** 1528 1 1 11'h56c: data = 8'b00000000; // 1529 1 1 11'h56d: data = 8'b00000000; // 1530 1 1 11'h56e: data = 8'b00000000; // 1531 1 1 11'h56f: data = 8'b00000000; // 1532 //code x57 1533 1 ***0*** 11'h570: data = 8'b00000000; // 1534 1 ***0*** 11'h571: data = 8'b00000000; // 1535 1 ***0*** 11'h572: data = 8'b11000011; // ** ** 1536 1 ***0*** 11'h573: data = 8'b11000011; // ** ** 1537 1 ***0*** 11'h574: data = 8'b11000011; // ** ** 1538 1 ***0*** 11'h575: data = 8'b11000011; // ** ** 1539 1 ***0*** 11'h576: data = 8'b11000011; // ** ** 1540 1 ***0*** 11'h577: data = 8'b11011011; // ** ** ** 1541 1 ***0*** 11'h578: data = 8'b11011011; // ** ** ** 1542 1 ***0*** 11'h579: data = 8'b11111111; // ******** 1543 1 ***0*** 11'h57a: data = 8'b01100110; // ** ** 1544 1 ***0*** 11'h57b: data = 8'b01100110; // ** ** 1545 1 ***0*** 11'h57c: data = 8'b00000000; // 1546 1 ***0*** 11'h57d: data = 8'b00000000; // 1547 1 ***0*** 11'h57e: data = 8'b00000000; // 1548 1 ***0*** 11'h57f: data = 8'b00000000; // 1549 //code x58 1550 1 ***0*** 11'h580: data = 8'b00000000; // 1551 1 ***0*** 11'h581: data = 8'b00000000; // 1552 1 ***0*** 11'h582: data = 8'b11000011; // ** ** 1553 1 ***0*** 11'h583: data = 8'b11000011; // ** ** 1554 1 ***0*** 11'h584: data = 8'b01100110; // ** ** 1555 1 ***0*** 11'h585: data = 8'b00111100; // **** 1556 1 ***0*** 11'h586: data = 8'b00011000; // ** 1557 1 ***0*** 11'h587: data = 8'b00011000; // ** 1558 1 ***0*** 11'h588: data = 8'b00111100; // **** 1559 1 ***0*** 11'h589: data = 8'b01100110; // ** ** 1560 1 ***0*** 11'h58a: data = 8'b11000011; // ** ** 1561 1 ***0*** 11'h58b: data = 8'b11000011; // ** ** 1562 1 ***0*** 11'h58c: data = 8'b00000000; // 1563 1 ***0*** 11'h58d: data = 8'b00000000; // 1564 1 ***0*** 11'h58e: data = 8'b00000000; // 1565 1 ***0*** 11'h58f: data = 8'b00000000; // 1566 //code x59 1567 1 ***0*** 11'h590: data = 8'b00000000; // 1568 1 ***0*** 11'h591: data = 8'b00000000; // 1569 1 ***0*** 11'h592: data = 8'b11000011; // ** ** 1570 1 ***0*** 11'h593: data = 8'b11000011; // ** ** 1571 1 ***0*** 11'h594: data = 8'b11000011; // ** ** 1572 1 ***0*** 11'h595: data = 8'b01100110; // ** ** 1573 1 ***0*** 11'h596: data = 8'b00111100; // **** 1574 1 ***0*** 11'h597: data = 8'b00011000; // ** 1575 1 ***0*** 11'h598: data = 8'b00011000; // ** 1576 1 ***0*** 11'h599: data = 8'b00011000; // ** 1577 1 ***0*** 11'h59a: data = 8'b00011000; // ** 1578 1 ***0*** 11'h59b: data = 8'b00111100; // **** 1579 1 ***0*** 11'h59c: data = 8'b00000000; // 1580 1 ***0*** 11'h59d: data = 8'b00000000; // 1581 1 ***0*** 11'h59e: data = 8'b00000000; // 1582 1 ***0*** 11'h59f: data = 8'b00000000; // 1583 //code x5a 1584 1 ***0*** 11'h5a0: data = 8'b00000000; // 1585 1 ***0*** 11'h5a1: data = 8'b00000000; // 1586 1 ***0*** 11'h5a2: data = 8'b11111111; // ******** 1587 1 ***0*** 11'h5a3: data = 8'b11000011; // ** ** 1588 1 ***0*** 11'h5a4: data = 8'b10000110; // * ** 1589 1 ***0*** 11'h5a5: data = 8'b00001100; // ** 1590 1 ***0*** 11'h5a6: data = 8'b00011000; // ** 1591 1 ***0*** 11'h5a7: data = 8'b00110000; // ** 1592 1 ***0*** 11'h5a8: data = 8'b01100000; // ** 1593 1 ***0*** 11'h5a9: data = 8'b11000001; // ** * 1594 1 ***0*** 11'h5aa: data = 8'b11000011; // ** ** 1595 1 ***0*** 11'h5ab: data = 8'b11111111; // ******** 1596 1 ***0*** 11'h5ac: data = 8'b00000000; // 1597 1 ***0*** 11'h5ad: data = 8'b00000000; // 1598 1 ***0*** 11'h5ae: data = 8'b00000000; // 1599 1 ***0*** 11'h5af: data = 8'b00000000; // 1600 //code x5b 1601 1 43 11'h5b0: data = 8'b00000000; // 1602 1 1 11'h5b1: data = 8'b00000000; // 1603 1 1 11'h5b2: data = 8'b00111100; // **** 1604 1 1 11'h5b3: data = 8'b00110000; // ** 1605 1 1 11'h5b4: data = 8'b00110000; // ** 1606 1 1 11'h5b5: data = 8'b00110000; // ** 1607 1 1 11'h5b6: data = 8'b00110000; // ** 1608 1 1 11'h5b7: data = 8'b00110000; // ** 1609 1 1 11'h5b8: data = 8'b00110000; // ** 1610 1 1 11'h5b9: data = 8'b00110000; // ** 1611 1 1 11'h5ba: data = 8'b00110000; // ** 1612 1 1 11'h5bb: data = 8'b00111100; // **** 1613 1 1 11'h5bc: data = 8'b00000000; // 1614 1 1 11'h5bd: data = 8'b00000000; // 1615 1 1 11'h5be: data = 8'b00000000; // 1616 1 1 11'h5bf: data = 8'b00000000; // 1617 //code x5c 1618 1 ***0*** 11'h5c0: data = 8'b00000000; // 1619 1 ***0*** 11'h5c1: data = 8'b00000000; // 1620 1 ***0*** 11'h5c2: data = 8'b00000000; // 1621 1 ***0*** 11'h5c3: data = 8'b10000000; // * 1622 1 ***0*** 11'h5c4: data = 8'b11000000; // ** 1623 1 ***0*** 11'h5c5: data = 8'b11100000; // *** 1624 1 ***0*** 11'h5c6: data = 8'b01110000; // *** 1625 1 ***0*** 11'h5c7: data = 8'b00111000; // *** 1626 1 ***0*** 11'h5c8: data = 8'b00011100; // *** 1627 1 ***0*** 11'h5c9: data = 8'b00001110; // *** 1628 1 ***0*** 11'h5ca: data = 8'b00000110; // ** 1629 1 ***0*** 11'h5cb: data = 8'b00000010; // * 1630 1 ***0*** 11'h5cc: data = 8'b00000000; // 1631 1 ***0*** 11'h5cd: data = 8'b00000000; // 1632 1 ***0*** 11'h5ce: data = 8'b00000000; // 1633 1 ***0*** 11'h5cf: data = 8'b00000000; // 1634 //code x5d 1635 1 43 11'h5d0: data = 8'b00000000; // 1636 1 1 11'h5d1: data = 8'b00000000; // 1637 1 1 11'h5d2: data = 8'b00111100; // **** 1638 1 1 11'h5d3: data = 8'b00001100; // ** 1639 1 1 11'h5d4: data = 8'b00001100; // ** 1640 1 1 11'h5d5: data = 8'b00001100; // ** 1641 1 1 11'h5d6: data = 8'b00001100; // ** 1642 1 1 11'h5d7: data = 8'b00001100; // ** 1643 1 1 11'h5d8: data = 8'b00001100; // ** 1644 1 1 11'h5d9: data = 8'b00001100; // ** 1645 1 1 11'h5da: data = 8'b00001100; // ** 1646 1 1 11'h5db: data = 8'b00111100; // **** 1647 1 1 11'h5dc: data = 8'b00000000; // 1648 1 1 11'h5dd: data = 8'b00000000; // 1649 1 1 11'h5de: data = 8'b00000000; // 1650 1 1 11'h5df: data = 8'b00000000; // 1651 //code x5e 1652 1 ***0*** 11'h5e0: data = 8'b00010000; // * 1653 1 ***0*** 11'h5e1: data = 8'b00111000; // *** 1654 1 ***0*** 11'h5e2: data = 8'b01101100; // ** ** 1655 1 ***0*** 11'h5e3: data = 8'b11000110; // ** ** 1656 1 ***0*** 11'h5e4: data = 8'b00000000; // 1657 1 ***0*** 11'h5e5: data = 8'b00000000; // 1658 1 ***0*** 11'h5e6: data = 8'b00000000; // 1659 1 ***0*** 11'h5e7: data = 8'b00000000; // 1660 1 ***0*** 11'h5e8: data = 8'b00000000; // 1661 1 ***0*** 11'h5e9: data = 8'b00000000; // 1662 1 ***0*** 11'h5ea: data = 8'b00000000; // 1663 1 ***0*** 11'h5eb: data = 8'b00000000; // 1664 1 ***0*** 11'h5ec: data = 8'b00000000; // 1665 1 ***0*** 11'h5ed: data = 8'b00000000; // 1666 1 ***0*** 11'h5ee: data = 8'b00000000; // 1667 1 ***0*** 11'h5ef: data = 8'b00000000; // 1668 //code x5f 1669 1 ***0*** 11'h5f0: data = 8'b00000000; // 1670 1 ***0*** 11'h5f1: data = 8'b00000000; // 1671 1 ***0*** 11'h5f2: data = 8'b00000000; // 1672 1 ***0*** 11'h5f3: data = 8'b00000000; // 1673 1 ***0*** 11'h5f4: data = 8'b00000000; // 1674 1 ***0*** 11'h5f5: data = 8'b00000000; // 1675 1 ***0*** 11'h5f6: data = 8'b00000000; // 1676 1 ***0*** 11'h5f7: data = 8'b00000000; // 1677 1 ***0*** 11'h5f8: data = 8'b00000000; // 1678 1 ***0*** 11'h5f9: data = 8'b00000000; // 1679 1 ***0*** 11'h5fa: data = 8'b00000000; // 1680 1 ***0*** 11'h5fb: data = 8'b00000000; // 1681 1 ***0*** 11'h5fc: data = 8'b00000000; // 1682 1 ***0*** 11'h5fd: data = 8'b11111111; // ******** 1683 1 ***0*** 11'h5fe: data = 8'b00000000; // 1684 1 ***0*** 11'h5ff: data = 8'b00000000; // 1685 //code x60 1686 1 ***0*** 11'h600: data = 8'b00110000; // ** 1687 1 ***0*** 11'h601: data = 8'b00110000; // ** 1688 1 ***0*** 11'h602: data = 8'b00011000; // ** 1689 1 ***0*** 11'h603: data = 8'b00000000; // 1690 1 ***0*** 11'h604: data = 8'b00000000; // 1691 1 ***0*** 11'h605: data = 8'b00000000; // 1692 1 ***0*** 11'h606: data = 8'b00000000; // 1693 1 ***0*** 11'h607: data = 8'b00000000; // 1694 1 ***0*** 11'h608: data = 8'b00000000; // 1695 1 ***0*** 11'h609: data = 8'b00000000; // 1696 1 ***0*** 11'h60a: data = 8'b00000000; // 1697 1 ***0*** 11'h60b: data = 8'b00000000; // 1698 1 ***0*** 11'h60c: data = 8'b00000000; // 1699 1 ***0*** 11'h60d: data = 8'b00000000; // 1700 1 ***0*** 11'h60e: data = 8'b00000000; // 1701 1 ***0*** 11'h60f: data = 8'b00000000; // 1702 //code x61 1703 1 ***0*** 11'h610: data = 8'b00000000; // 1704 1 ***0*** 11'h611: data = 8'b00000000; // 1705 1 ***0*** 11'h612: data = 8'b00000000; // 1706 1 ***0*** 11'h613: data = 8'b00000000; // 1707 1 ***0*** 11'h614: data = 8'b00000000; // 1708 1 ***0*** 11'h615: data = 8'b01111000; // **** 1709 1 ***0*** 11'h616: data = 8'b00001100; // ** 1710 1 ***0*** 11'h617: data = 8'b01111100; // ***** 1711 1 ***0*** 11'h618: data = 8'b11001100; // ** ** 1712 1 ***0*** 11'h619: data = 8'b11001100; // ** ** 1713 1 ***0*** 11'h61a: data = 8'b11001100; // ** ** 1714 1 ***0*** 11'h61b: data = 8'b01110110; // *** ** 1715 1 ***0*** 11'h61c: data = 8'b00000000; // 1716 1 ***0*** 11'h61d: data = 8'b00000000; // 1717 1 ***0*** 11'h61e: data = 8'b00000000; // 1718 1 ***0*** 11'h61f: data = 8'b00000000; // 1719 //code x62 1720 1 43 11'h620: data = 8'b00000000; // 1721 1 1 11'h621: data = 8'b00000000; // 1722 1 1 11'h622: data = 8'b11100000; // *** 1723 1 1 11'h623: data = 8'b01100000; // ** 1724 1 1 11'h624: data = 8'b01100000; // ** 1725 1 1 11'h625: data = 8'b01111000; // **** 1726 1 1 11'h626: data = 8'b01101100; // ** ** 1727 1 1 11'h627: data = 8'b01100110; // ** ** 1728 1 1 11'h628: data = 8'b01100110; // ** ** 1729 1 1 11'h629: data = 8'b01100110; // ** ** 1730 1 1 11'h62a: data = 8'b01100110; // ** ** 1731 1 1 11'h62b: data = 8'b01111100; // ***** 1732 1 1 11'h62c: data = 8'b00000000; // 1733 1 1 11'h62d: data = 8'b00000000; // 1734 1 1 11'h62e: data = 8'b00000000; // 1735 1 1 11'h62f: data = 8'b00000000; // 1736 //code x63 1737 1 ***0*** 11'h630: data = 8'b00000000; // 1738 1 ***0*** 11'h631: data = 8'b00000000; // 1739 1 ***0*** 11'h632: data = 8'b00000000; // 1740 1 ***0*** 11'h633: data = 8'b00000000; // 1741 1 ***0*** 11'h634: data = 8'b00000000; // 1742 1 ***0*** 11'h635: data = 8'b01111100; // ***** 1743 1 ***0*** 11'h636: data = 8'b11000110; // ** ** 1744 1 ***0*** 11'h637: data = 8'b11000000; // ** 1745 1 ***0*** 11'h638: data = 8'b11000000; // ** 1746 1 ***0*** 11'h639: data = 8'b11000000; // ** 1747 1 ***0*** 11'h63a: data = 8'b11000110; // ** ** 1748 1 ***0*** 11'h63b: data = 8'b01111100; // ***** 1749 1 ***0*** 11'h63c: data = 8'b00000000; // 1750 1 ***0*** 11'h63d: data = 8'b00000000; // 1751 1 ***0*** 11'h63e: data = 8'b00000000; // 1752 1 ***0*** 11'h63f: data = 8'b00000000; // 1753 //code x64 1754 1 43 11'h640: data = 8'b00000000; // 1755 1 1 11'h641: data = 8'b00000000; // 1756 1 1 11'h642: data = 8'b00011100; // *** 1757 1 1 11'h643: data = 8'b00001100; // ** 1758 1 1 11'h644: data = 8'b00001100; // ** 1759 1 1 11'h645: data = 8'b00111100; // **** 1760 1 1 11'h646: data = 8'b01101100; // ** ** 1761 1 1 11'h647: data = 8'b11001100; // ** ** 1762 1 1 11'h648: data = 8'b11001100; // ** ** 1763 1 1 11'h649: data = 8'b11001100; // ** ** 1764 1 1 11'h64a: data = 8'b11001100; // ** ** 1765 1 1 11'h64b: data = 8'b01110110; // *** ** 1766 1 1 11'h64c: data = 8'b00000000; // 1767 1 1 11'h64d: data = 8'b00000000; // 1768 1 1 11'h64e: data = 8'b00000000; // 1769 1 1 11'h64f: data = 8'b00000000; // 1770 //code x65 1771 1 ***0*** 11'h650: data = 8'b00000000; // 1772 1 ***0*** 11'h651: data = 8'b00000000; // 1773 1 ***0*** 11'h652: data = 8'b00000000; // 1774 1 ***0*** 11'h653: data = 8'b00000000; // 1775 1 ***0*** 11'h654: data = 8'b00000000; // 1776 1 ***0*** 11'h655: data = 8'b01111100; // ***** 1777 1 ***0*** 11'h656: data = 8'b11000110; // ** ** 1778 1 ***0*** 11'h657: data = 8'b11111110; // ******* 1779 1 ***0*** 11'h658: data = 8'b11000000; // ** 1780 1 ***0*** 11'h659: data = 8'b11000000; // ** 1781 1 ***0*** 11'h65a: data = 8'b11000110; // ** ** 1782 1 ***0*** 11'h65b: data = 8'b01111100; // ***** 1783 1 ***0*** 11'h65c: data = 8'b00000000; // 1784 1 ***0*** 11'h65d: data = 8'b00000000; // 1785 1 ***0*** 11'h65e: data = 8'b00000000; // 1786 1 ***0*** 11'h65f: data = 8'b00000000; // 1787 //code x66 1788 1 ***0*** 11'h660: data = 8'b00000000; // 1789 1 ***0*** 11'h661: data = 8'b00000000; // 1790 1 ***0*** 11'h662: data = 8'b00111000; // *** 1791 1 ***0*** 11'h663: data = 8'b01101100; // ** ** 1792 1 ***0*** 11'h664: data = 8'b01100100; // ** * 1793 1 ***0*** 11'h665: data = 8'b01100000; // ** 1794 1 ***0*** 11'h666: data = 8'b11110000; // **** 1795 1 ***0*** 11'h667: data = 8'b01100000; // ** 1796 1 ***0*** 11'h668: data = 8'b01100000; // ** 1797 1 ***0*** 11'h669: data = 8'b01100000; // ** 1798 1 ***0*** 11'h66a: data = 8'b01100000; // ** 1799 1 ***0*** 11'h66b: data = 8'b11110000; // **** 1800 1 ***0*** 11'h66c: data = 8'b00000000; // 1801 1 ***0*** 11'h66d: data = 8'b00000000; // 1802 1 ***0*** 11'h66e: data = 8'b00000000; // 1803 1 ***0*** 11'h66f: data = 8'b00000000; // 1804 //code x67 1805 1 ***0*** 11'h670: data = 8'b00000000; // 1806 1 ***0*** 11'h671: data = 8'b00000000; // 1807 1 ***0*** 11'h672: data = 8'b00000000; // 1808 1 ***0*** 11'h673: data = 8'b00000000; // 1809 1 ***0*** 11'h674: data = 8'b00000000; // 1810 1 ***0*** 11'h675: data = 8'b01110110; // *** ** 1811 1 ***0*** 11'h676: data = 8'b11001100; // ** ** 1812 1 ***0*** 11'h677: data = 8'b11001100; // ** ** 1813 1 ***0*** 11'h678: data = 8'b11001100; // ** ** 1814 1 ***0*** 11'h679: data = 8'b11001100; // ** ** 1815 1 ***0*** 11'h67a: data = 8'b11001100; // ** ** 1816 1 ***0*** 11'h67b: data = 8'b01111100; // ***** 1817 1 ***0*** 11'h67c: data = 8'b00001100; // ** 1818 1 ***0*** 11'h67d: data = 8'b11001100; // ** ** 1819 1 ***0*** 11'h67e: data = 8'b01111000; // **** 1820 1 ***0*** 11'h67f: data = 8'b00000000; // 1821 //code x68 1822 1 ***0*** 11'h680: data = 8'b00000000; // 1823 1 ***0*** 11'h681: data = 8'b00000000; // 1824 1 ***0*** 11'h682: data = 8'b11100000; // *** 1825 1 ***0*** 11'h683: data = 8'b01100000; // ** 1826 1 ***0*** 11'h684: data = 8'b01100000; // ** 1827 1 ***0*** 11'h685: data = 8'b01101100; // ** ** 1828 1 ***0*** 11'h686: data = 8'b01110110; // *** ** 1829 1 ***0*** 11'h687: data = 8'b01100110; // ** ** 1830 1 ***0*** 11'h688: data = 8'b01100110; // ** ** 1831 1 ***0*** 11'h689: data = 8'b01100110; // ** ** 1832 1 ***0*** 11'h68a: data = 8'b01100110; // ** ** 1833 1 ***0*** 11'h68b: data = 8'b11100110; // *** ** 1834 1 ***0*** 11'h68c: data = 8'b00000000; // 1835 1 ***0*** 11'h68d: data = 8'b00000000; // 1836 1 ***0*** 11'h68e: data = 8'b00000000; // 1837 1 ***0*** 11'h68f: data = 8'b00000000; // 1838 //code x69 1839 1 43 11'h690: data = 8'b00000000; // 1840 1 1 11'h691: data = 8'b00000000; // 1841 1 1 11'h692: data = 8'b00011000; // ** 1842 1 1 11'h693: data = 8'b00011000; // ** 1843 1 1 11'h694: data = 8'b00000000; // 1844 1 1 11'h695: data = 8'b00111000; // *** 1845 1 1 11'h696: data = 8'b00011000; // ** 1846 1 1 11'h697: data = 8'b00011000; // ** 1847 1 1 11'h698: data = 8'b00011000; // ** 1848 1 1 11'h699: data = 8'b00011000; // ** 1849 1 1 11'h69a: data = 8'b00011000; // ** 1850 1 1 11'h69b: data = 8'b00111100; // **** 1851 1 1 11'h69c: data = 8'b00000000; // 1852 1 1 11'h69d: data = 8'b00000000; // 1853 1 1 11'h69e: data = 8'b00000000; // 1854 1 1 11'h69f: data = 8'b00000000; // 1855 //code x6a 1856 1 ***0*** 11'h6a0: data = 8'b00000000; // 1857 1 ***0*** 11'h6a1: data = 8'b00000000; // 1858 1 ***0*** 11'h6a2: data = 8'b00000110; // ** 1859 1 ***0*** 11'h6a3: data = 8'b00000110; // ** 1860 1 ***0*** 11'h6a4: data = 8'b00000000; // 1861 1 ***0*** 11'h6a5: data = 8'b00001110; // *** 1862 1 ***0*** 11'h6a6: data = 8'b00000110; // ** 1863 1 ***0*** 11'h6a7: data = 8'b00000110; // ** 1864 1 ***0*** 11'h6a8: data = 8'b00000110; // ** 1865 1 ***0*** 11'h6a9: data = 8'b00000110; // ** 1866 1 ***0*** 11'h6aa: data = 8'b00000110; // ** 1867 1 ***0*** 11'h6ab: data = 8'b00000110; // ** 1868 1 ***0*** 11'h6ac: data = 8'b01100110; // ** ** 1869 1 ***0*** 11'h6ad: data = 8'b01100110; // ** ** 1870 1 ***0*** 11'h6ae: data = 8'b00111100; // **** 1871 1 ***0*** 11'h6af: data = 8'b00000000; // 1872 //code x6b 1873 1 ***0*** 11'h6b0: data = 8'b00000000; // 1874 1 ***0*** 11'h6b1: data = 8'b00000000; // 1875 1 ***0*** 11'h6b2: data = 8'b11100000; // *** 1876 1 ***0*** 11'h6b3: data = 8'b01100000; // ** 1877 1 ***0*** 11'h6b4: data = 8'b01100000; // ** 1878 1 ***0*** 11'h6b5: data = 8'b01100110; // ** ** 1879 1 ***0*** 11'h6b6: data = 8'b01101100; // ** ** 1880 1 ***0*** 11'h6b7: data = 8'b01111000; // **** 1881 1 ***0*** 11'h6b8: data = 8'b01111000; // **** 1882 1 ***0*** 11'h6b9: data = 8'b01101100; // ** ** 1883 1 ***0*** 11'h6ba: data = 8'b01100110; // ** ** 1884 1 ***0*** 11'h6bb: data = 8'b11100110; // *** ** 1885 1 ***0*** 11'h6bc: data = 8'b00000000; // 1886 1 ***0*** 11'h6bd: data = 8'b00000000; // 1887 1 ***0*** 11'h6be: data = 8'b00000000; // 1888 1 ***0*** 11'h6bf: data = 8'b00000000; // 1889 //code x6c 1890 1 43 11'h6c0: data = 8'b00000000; // 1891 1 1 11'h6c1: data = 8'b00000000; // 1892 1 1 11'h6c2: data = 8'b00111000; // *** 1893 1 1 11'h6c3: data = 8'b00011000; // ** 1894 1 1 11'h6c4: data = 8'b00011000; // ** 1895 1 1 11'h6c5: data = 8'b00011000; // ** 1896 1 1 11'h6c6: data = 8'b00011000; // ** 1897 1 1 11'h6c7: data = 8'b00011000; // ** 1898 1 1 11'h6c8: data = 8'b00011000; // ** 1899 1 1 11'h6c9: data = 8'b00011000; // ** 1900 1 1 11'h6ca: data = 8'b00011000; // ** 1901 1 1 11'h6cb: data = 8'b00111100; // **** 1902 1 1 11'h6cc: data = 8'b00000000; // 1903 1 1 11'h6cd: data = 8'b00000000; // 1904 1 1 11'h6ce: data = 8'b00000000; // 1905 1 1 11'h6cf: data = 8'b00000000; // 1906 //code x6d 1907 1 ***0*** 11'h6d0: data = 8'b00000000; // 1908 1 ***0*** 11'h6d1: data = 8'b00000000; // 1909 1 ***0*** 11'h6d2: data = 8'b00000000; // 1910 1 ***0*** 11'h6d3: data = 8'b00000000; // 1911 1 ***0*** 11'h6d4: data = 8'b00000000; // 1912 1 ***0*** 11'h6d5: data = 8'b11100110; // *** ** 1913 1 ***0*** 11'h6d6: data = 8'b11111111; // ******** 1914 1 ***0*** 11'h6d7: data = 8'b11011011; // ** ** ** 1915 1 ***0*** 11'h6d8: data = 8'b11011011; // ** ** ** 1916 1 ***0*** 11'h6d9: data = 8'b11011011; // ** ** ** 1917 1 ***0*** 11'h6da: data = 8'b11011011; // ** ** ** 1918 1 ***0*** 11'h6db: data = 8'b11011011; // ** ** ** 1919 1 ***0*** 11'h6dc: data = 8'b00000000; // 1920 1 ***0*** 11'h6dd: data = 8'b00000000; // 1921 1 ***0*** 11'h6de: data = 8'b00000000; // 1922 1 ***0*** 11'h6df: data = 8'b00000000; // 1923 //code x6e 1924 1 ***0*** 11'h6e0: data = 8'b00000000; // 1925 1 ***0*** 11'h6e1: data = 8'b00000000; // 1926 1 ***0*** 11'h6e2: data = 8'b00000000; // 1927 1 ***0*** 11'h6e3: data = 8'b00000000; // 1928 1 ***0*** 11'h6e4: data = 8'b00000000; // 1929 1 ***0*** 11'h6e5: data = 8'b11011100; // ** *** 1930 1 ***0*** 11'h6e6: data = 8'b01100110; // ** ** 1931 1 ***0*** 11'h6e7: data = 8'b01100110; // ** ** 1932 1 ***0*** 11'h6e8: data = 8'b01100110; // ** ** 1933 1 ***0*** 11'h6e9: data = 8'b01100110; // ** ** 1934 1 ***0*** 11'h6ea: data = 8'b01100110; // ** ** 1935 1 ***0*** 11'h6eb: data = 8'b01100110; // ** ** 1936 1 ***0*** 11'h6ec: data = 8'b00000000; // 1937 1 ***0*** 11'h6ed: data = 8'b00000000; // 1938 1 ***0*** 11'h6ee: data = 8'b00000000; // 1939 1 ***0*** 11'h6ef: data = 8'b00000000; // 1940 //code x6f 1941 1 ***0*** 11'h6f0: data = 8'b00000000; // 1942 1 ***0*** 11'h6f1: data = 8'b00000000; // 1943 1 ***0*** 11'h6f2: data = 8'b00000000; // 1944 1 ***0*** 11'h6f3: data = 8'b00000000; // 1945 1 ***0*** 11'h6f4: data = 8'b00000000; // 1946 1 ***0*** 11'h6f5: data = 8'b01111100; // ***** 1947 1 ***0*** 11'h6f6: data = 8'b11000110; // ** ** 1948 1 ***0*** 11'h6f7: data = 8'b11000110; // ** ** 1949 1 ***0*** 11'h6f8: data = 8'b11000110; // ** ** 1950 1 ***0*** 11'h6f9: data = 8'b11000110; // ** ** 1951 1 ***0*** 11'h6fa: data = 8'b11000110; // ** ** 1952 1 ***0*** 11'h6fb: data = 8'b01111100; // ***** 1953 1 ***0*** 11'h6fc: data = 8'b00000000; // 1954 1 ***0*** 11'h6fd: data = 8'b00000000; // 1955 1 ***0*** 11'h6fe: data = 8'b00000000; // 1956 1 ***0*** 11'h6ff: data = 8'b00000000; // 1957 //code x70 1958 1 ***0*** 11'h700: data = 8'b00000000; // 1959 1 ***0*** 11'h701: data = 8'b00000000; // 1960 1 ***0*** 11'h702: data = 8'b00000000; // 1961 1 ***0*** 11'h703: data = 8'b00000000; // 1962 1 ***0*** 11'h704: data = 8'b00000000; // 1963 1 ***0*** 11'h705: data = 8'b11011100; // ** *** 1964 1 ***0*** 11'h706: data = 8'b01100110; // ** ** 1965 1 ***0*** 11'h707: data = 8'b01100110; // ** ** 1966 1 ***0*** 11'h708: data = 8'b01100110; // ** ** 1967 1 ***0*** 11'h709: data = 8'b01100110; // ** ** 1968 1 ***0*** 11'h70a: data = 8'b01100110; // ** ** 1969 1 ***0*** 11'h70b: data = 8'b01111100; // ***** 1970 1 ***0*** 11'h70c: data = 8'b01100000; // ** 1971 1 ***0*** 11'h70d: data = 8'b01100000; // ** 1972 1 ***0*** 11'h70e: data = 8'b11110000; // **** 1973 1 ***0*** 11'h70f: data = 8'b00000000; // 1974 //code x71 1975 1 ***0*** 11'h710: data = 8'b00000000; // 1976 1 ***0*** 11'h711: data = 8'b00000000; // 1977 1 ***0*** 11'h712: data = 8'b00000000; // 1978 1 ***0*** 11'h713: data = 8'b00000000; // 1979 1 ***0*** 11'h714: data = 8'b00000000; // 1980 1 ***0*** 11'h715: data = 8'b01110110; // *** ** 1981 1 ***0*** 11'h716: data = 8'b11001100; // ** ** 1982 1 ***0*** 11'h717: data = 8'b11001100; // ** ** 1983 1 ***0*** 11'h718: data = 8'b11001100; // ** ** 1984 1 ***0*** 11'h719: data = 8'b11001100; // ** ** 1985 1 ***0*** 11'h71a: data = 8'b11001100; // ** ** 1986 1 ***0*** 11'h71b: data = 8'b01111100; // ***** 1987 1 ***0*** 11'h71c: data = 8'b00001100; // ** 1988 1 ***0*** 11'h71d: data = 8'b00001100; // ** 1989 1 ***0*** 11'h71e: data = 8'b00011110; // **** 1990 1 ***0*** 11'h71f: data = 8'b00000000; // 1991 //code x72 1992 1 43 11'h720: data = 8'b00000000; // 1993 1 1 11'h721: data = 8'b00000000; // 1994 1 1 11'h722: data = 8'b00000000; // 1995 1 1 11'h723: data = 8'b00000000; // 1996 1 1 11'h724: data = 8'b00000000; // 1997 1 1 11'h725: data = 8'b11011100; // ** *** 1998 1 1 11'h726: data = 8'b01110110; // *** ** 1999 1 1 11'h727: data = 8'b01100110; // ** ** 2000 1 1 11'h728: data = 8'b01100000; // ** 2001 1 1 11'h729: data = 8'b01100000; // ** 2002 1 1 11'h72a: data = 8'b01100000; // ** 2003 1 1 11'h72b: data = 8'b11110000; // **** 2004 1 1 11'h72c: data = 8'b00000000; // 2005 1 1 11'h72d: data = 8'b00000000; // 2006 1 1 11'h72e: data = 8'b00000000; // 2007 1 1 11'h72f: data = 8'b00000000; // 2008 //code x73 2009 1 ***0*** 11'h730: data = 8'b00000000; // 2010 1 ***0*** 11'h731: data = 8'b00000000; // 2011 1 ***0*** 11'h732: data = 8'b00000000; // 2012 1 ***0*** 11'h733: data = 8'b00000000; // 2013 1 ***0*** 11'h734: data = 8'b00000000; // 2014 1 ***0*** 11'h735: data = 8'b01111100; // ***** 2015 1 ***0*** 11'h736: data = 8'b11000110; // ** ** 2016 1 ***0*** 11'h737: data = 8'b01100000; // ** 2017 1 ***0*** 11'h738: data = 8'b00111000; // *** 2018 1 ***0*** 11'h739: data = 8'b00001100; // ** 2019 1 ***0*** 11'h73a: data = 8'b11000110; // ** ** 2020 1 ***0*** 11'h73b: data = 8'b01111100; // ***** 2021 1 ***0*** 11'h73c: data = 8'b00000000; // 2022 1 ***0*** 11'h73d: data = 8'b00000000; // 2023 1 ***0*** 11'h73e: data = 8'b00000000; // 2024 1 ***0*** 11'h73f: data = 8'b00000000; // 2025 //code x74 2026 1 ***0*** 11'h740: data = 8'b00000000; // 2027 1 ***0*** 11'h741: data = 8'b00000000; // 2028 1 ***0*** 11'h742: data = 8'b00010000; // * 2029 1 ***0*** 11'h743: data = 8'b00110000; // ** 2030 1 ***0*** 11'h744: data = 8'b00110000; // ** 2031 1 ***0*** 11'h745: data = 8'b11111100; // ****** 2032 1 ***0*** 11'h746: data = 8'b00110000; // ** 2033 1 ***0*** 11'h747: data = 8'b00110000; // ** 2034 1 ***0*** 11'h748: data = 8'b00110000; // ** 2035 1 ***0*** 11'h749: data = 8'b00110000; // ** 2036 1 ***0*** 11'h74a: data = 8'b00110110; // ** ** 2037 1 ***0*** 11'h74b: data = 8'b00011100; // *** 2038 1 ***0*** 11'h74c: data = 8'b00000000; // 2039 1 ***0*** 11'h74d: data = 8'b00000000; // 2040 1 ***0*** 11'h74e: data = 8'b00000000; // 2041 1 ***0*** 11'h74f: data = 8'b00000000; // 2042 //code x75 2043 1 ***0*** 11'h750: data = 8'b00000000; // 2044 1 ***0*** 11'h751: data = 8'b00000000; // 2045 1 ***0*** 11'h752: data = 8'b00000000; // 2046 1 ***0*** 11'h753: data = 8'b00000000; // 2047 1 ***0*** 11'h754: data = 8'b00000000; // 2048 1 ***0*** 11'h755: data = 8'b11001100; // ** ** 2049 1 ***0*** 11'h756: data = 8'b11001100; // ** ** 2050 1 ***0*** 11'h757: data = 8'b11001100; // ** ** 2051 1 ***0*** 11'h758: data = 8'b11001100; // ** ** 2052 1 ***0*** 11'h759: data = 8'b11001100; // ** ** 2053 1 ***0*** 11'h75a: data = 8'b11001100; // ** ** 2054 1 ***0*** 11'h75b: data = 8'b01110110; // *** ** 2055 1 ***0*** 11'h75c: data = 8'b00000000; // 2056 1 ***0*** 11'h75d: data = 8'b00000000; // 2057 1 ***0*** 11'h75e: data = 8'b00000000; // 2058 1 ***0*** 11'h75f: data = 8'b00000000; // 2059 //code x76 2060 1 ***0*** 11'h760: data = 8'b00000000; // 2061 1 ***0*** 11'h761: data = 8'b00000000; // 2062 1 ***0*** 11'h762: data = 8'b00000000; // 2063 1 ***0*** 11'h763: data = 8'b00000000; // 2064 1 ***0*** 11'h764: data = 8'b00000000; // 2065 1 ***0*** 11'h765: data = 8'b11000011; // ** ** 2066 1 ***0*** 11'h766: data = 8'b11000011; // ** ** 2067 1 ***0*** 11'h767: data = 8'b11000011; // ** ** 2068 1 ***0*** 11'h768: data = 8'b11000011; // ** ** 2069 1 ***0*** 11'h769: data = 8'b01100110; // ** ** 2070 1 ***0*** 11'h76a: data = 8'b00111100; // **** 2071 1 ***0*** 11'h76b: data = 8'b00011000; // ** 2072 1 ***0*** 11'h76c: data = 8'b00000000; // 2073 1 ***0*** 11'h76d: data = 8'b00000000; // 2074 1 ***0*** 11'h76e: data = 8'b00000000; // 2075 1 ***0*** 11'h76f: data = 8'b00000000; // 2076 //code x77 2077 1 43 11'h770: data = 8'b00000000; // 2078 1 1 11'h771: data = 8'b00000000; // 2079 1 1 11'h772: data = 8'b00000000; // 2080 1 1 11'h773: data = 8'b00000000; // 2081 1 1 11'h774: data = 8'b00000000; // 2082 1 1 11'h775: data = 8'b11000011; // ** ** 2083 1 1 11'h776: data = 8'b11000011; // ** ** 2084 1 1 11'h777: data = 8'b11000011; // ** ** 2085 1 1 11'h778: data = 8'b11011011; // ** ** ** 2086 1 1 11'h779: data = 8'b11011011; // ** ** ** 2087 1 1 11'h77a: data = 8'b11111111; // ******** 2088 1 1 11'h77b: data = 8'b01100110; // ** ** 2089 1 1 11'h77c: data = 8'b00000000; // 2090 1 1 11'h77d: data = 8'b00000000; // 2091 1 1 11'h77e: data = 8'b00000000; // 2092 1 1 11'h77f: data = 8'b00000000; // 2093 //code x78 2094 1 ***0*** 11'h780: data = 8'b00000000; // 2095 1 ***0*** 11'h781: data = 8'b00000000; // 2096 1 ***0*** 11'h782: data = 8'b00000000; // 2097 1 ***0*** 11'h783: data = 8'b00000000; // 2098 1 ***0*** 11'h784: data = 8'b00000000; // 2099 1 ***0*** 11'h785: data = 8'b11000011; // ** ** 2100 1 ***0*** 11'h786: data = 8'b01100110; // ** ** 2101 1 ***0*** 11'h787: data = 8'b00111100; // **** 2102 1 ***0*** 11'h788: data = 8'b00011000; // ** 2103 1 ***0*** 11'h789: data = 8'b00111100; // **** 2104 1 ***0*** 11'h78a: data = 8'b01100110; // ** ** 2105 1 ***0*** 11'h78b: data = 8'b11000011; // ** ** 2106 1 ***0*** 11'h78c: data = 8'b00000000; // 2107 1 ***0*** 11'h78d: data = 8'b00000000; // 2108 1 ***0*** 11'h78e: data = 8'b00000000; // 2109 1 ***0*** 11'h78f: data = 8'b00000000; // 2110 //code x79 2111 1 ***0*** 11'h790: data = 8'b00000000; // 2112 1 ***0*** 11'h791: data = 8'b00000000; // 2113 1 ***0*** 11'h792: data = 8'b00000000; // 2114 1 ***0*** 11'h793: data = 8'b00000000; // 2115 1 ***0*** 11'h794: data = 8'b00000000; // 2116 1 ***0*** 11'h795: data = 8'b11000110; // ** ** 2117 1 ***0*** 11'h796: data = 8'b11000110; // ** ** 2118 1 ***0*** 11'h797: data = 8'b11000110; // ** ** 2119 1 ***0*** 11'h798: data = 8'b11000110; // ** ** 2120 1 ***0*** 11'h799: data = 8'b11000110; // ** ** 2121 1 ***0*** 11'h79a: data = 8'b11000110; // ** ** 2122 1 ***0*** 11'h79b: data = 8'b01111110; // ****** 2123 1 ***0*** 11'h79c: data = 8'b00000110; // ** 2124 1 ***0*** 11'h79d: data = 8'b00001100; // ** 2125 1 ***0*** 11'h79e: data = 8'b11111000; // ***** 2126 1 ***0*** 11'h79f: data = 8'b00000000; // 2127 //code x7a 2128 1 ***0*** 11'h7a0: data = 8'b00000000; // 2129 1 ***0*** 11'h7a1: data = 8'b00000000; // 2130 1 ***0*** 11'h7a2: data = 8'b00000000; // 2131 1 ***0*** 11'h7a3: data = 8'b00000000; // 2132 1 ***0*** 11'h7a4: data = 8'b00000000; // 2133 1 ***0*** 11'h7a5: data = 8'b11111110; // ******* 2134 1 ***0*** 11'h7a6: data = 8'b11001100; // ** ** 2135 1 ***0*** 11'h7a7: data = 8'b00011000; // ** 2136 1 ***0*** 11'h7a8: data = 8'b00110000; // ** 2137 1 ***0*** 11'h7a9: data = 8'b01100000; // ** 2138 1 ***0*** 11'h7aa: data = 8'b11000110; // ** ** 2139 1 ***0*** 11'h7ab: data = 8'b11111110; // ******* 2140 1 ***0*** 11'h7ac: data = 8'b00000000; // 2141 1 ***0*** 11'h7ad: data = 8'b00000000; // 2142 1 ***0*** 11'h7ae: data = 8'b00000000; // 2143 1 ***0*** 11'h7af: data = 8'b00000000; // 2144 //code x7b 2145 1 ***0*** 11'h7b0: data = 8'b00000000; // 2146 1 ***0*** 11'h7b1: data = 8'b00000000; // 2147 1 ***0*** 11'h7b2: data = 8'b00001110; // *** 2148 1 ***0*** 11'h7b3: data = 8'b00011000; // ** 2149 1 ***0*** 11'h7b4: data = 8'b00011000; // ** 2150 1 ***0*** 11'h7b5: data = 8'b00011000; // ** 2151 1 ***0*** 11'h7b6: data = 8'b01110000; // *** 2152 1 ***0*** 11'h7b7: data = 8'b00011000; // ** 2153 1 ***0*** 11'h7b8: data = 8'b00011000; // ** 2154 1 ***0*** 11'h7b9: data = 8'b00011000; // ** 2155 1 ***0*** 11'h7ba: data = 8'b00011000; // ** 2156 1 ***0*** 11'h7bb: data = 8'b00001110; // *** 2157 1 ***0*** 11'h7bc: data = 8'b00000000; // 2158 1 ***0*** 11'h7bd: data = 8'b00000000; // 2159 1 ***0*** 11'h7be: data = 8'b00000000; // 2160 1 ***0*** 11'h7bf: data = 8'b00000000; // 2161 //code x7c 2162 1 43 11'h7c0: data = 8'b00000000; // 2163 1 1 11'h7c1: data = 8'b00000000; // 2164 1 1 11'h7c2: data = 8'b00011000; // ** 2165 1 1 11'h7c3: data = 8'b00011000; // ** 2166 1 1 11'h7c4: data = 8'b00011000; // ** 2167 1 1 11'h7c5: data = 8'b00011000; // ** 2168 1 1 11'h7c6: data = 8'b00000000; // 2169 1 1 11'h7c7: data = 8'b00011000; // ** 2170 1 1 11'h7c8: data = 8'b00011000; // ** 2171 1 1 11'h7c9: data = 8'b00011000; // ** 2172 1 1 11'h7ca: data = 8'b00011000; // ** 2173 1 1 11'h7cb: data = 8'b00011000; // ** 2174 1 1 11'h7cc: data = 8'b00000000; // 2175 1 1 11'h7cd: data = 8'b00000000; // 2176 1 1 11'h7ce: data = 8'b00000000; // 2177 1 1 11'h7cf: data = 8'b00000000; // 2178 //code x7d 2179 1 ***0*** 11'h7d0: data = 8'b00000000; // 2180 1 ***0*** 11'h7d1: data = 8'b00000000; // 2181 1 ***0*** 11'h7d2: data = 8'b01110000; // *** 2182 1 ***0*** 11'h7d3: data = 8'b00011000; // ** 2183 1 ***0*** 11'h7d4: data = 8'b00011000; // ** 2184 1 ***0*** 11'h7d5: data = 8'b00011000; // ** 2185 1 ***0*** 11'h7d6: data = 8'b00001110; // *** 2186 1 ***0*** 11'h7d7: data = 8'b00011000; // ** 2187 1 ***0*** 11'h7d8: data = 8'b00011000; // ** 2188 1 ***0*** 11'h7d9: data = 8'b00011000; // ** 2189 1 ***0*** 11'h7da: data = 8'b00011000; // ** 2190 1 ***0*** 11'h7db: data = 8'b01110000; // *** 2191 1 ***0*** 11'h7dc: data = 8'b00000000; // 2192 1 ***0*** 11'h7dd: data = 8'b00000000; // 2193 1 ***0*** 11'h7de: data = 8'b00000000; // 2194 1 ***0*** 11'h7df: data = 8'b00000000; // 2195 //code x7e 2196 1 ***0*** 11'h7e0: data = 8'b00000000; // 2197 1 ***0*** 11'h7e1: data = 8'b00000000; // 2198 1 ***0*** 11'h7e2: data = 8'b01110110; // *** ** 2199 1 ***0*** 11'h7e3: data = 8'b11011100; // ** *** 2200 1 ***0*** 11'h7e4: data = 8'b00000000; // 2201 1 ***0*** 11'h7e5: data = 8'b00000000; // 2202 1 ***0*** 11'h7e6: data = 8'b00000000; // 2203 1 ***0*** 11'h7e7: data = 8'b00000000; // 2204 1 ***0*** 11'h7e8: data = 8'b00000000; // 2205 1 ***0*** 11'h7e9: data = 8'b00000000; // 2206 1 ***0*** 11'h7ea: data = 8'b00000000; // 2207 1 ***0*** 11'h7eb: data = 8'b00000000; // 2208 1 ***0*** 11'h7ec: data = 8'b00000000; // 2209 1 ***0*** 11'h7ed: data = 8'b00000000; // 2210 1 ***0*** 11'h7ee: data = 8'b00000000; // 2211 1 ***0*** 11'h7ef: data = 8'b00000000; // 2212 //code x7f 2213 1 ***0*** 11'h7f0: data = 8'b00000000; // 2214 1 ***0*** 11'h7f1: data = 8'b00000000; // 2215 1 ***0*** 11'h7f2: data = 8'b00000000; // 2216 1 ***0*** 11'h7f3: data = 8'b00000000; // 2217 1 ***0*** 11'h7f4: data = 8'b00010000; // * 2218 1 ***0*** 11'h7f5: data = 8'b00111000; // *** 2219 1 ***0*** 11'h7f6: data = 8'b01101100; // ** ** 2220 1 ***0*** 11'h7f7: data = 8'b11000110; // ** ** 2221 1 ***0*** 11'h7f8: data = 8'b11000110; // ** ** 2222 1 ***0*** 11'h7f9: data = 8'b11000110; // ** ** 2223 1 ***0*** 11'h7fa: data = 8'b11111110; // ******* 2224 1 ***0*** 11'h7fb: data = 8'b00000000; // 2225 1 ***0*** 11'h7fc: data = 8'b00000000; // 2226 1 ***0*** 11'h7fd: data = 8'b00000000; // 2227 1 ***0*** 11'h7fe: data = 8'b00000000; // 2228 1 ***0*** 11'h7ff: data = 8'b00000000; // 2229 endcase 2230 2231 endmodule Branch Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Branches 2049 481 1568 23.47 ================================Branch Details================================ Branch Coverage for file rtl/AHB_VGA/font_rom.sv -- ------------------------------------CASE Branch------------------------------------ 52 2282 Count coming in to CASE 54 1 ***0*** 11'h000: data = 8'b00000000; // 55 1 ***0*** 11'h001: data = 8'b00000000; // 56 1 ***0*** 11'h002: data = 8'b00000000; // 57 1 ***0*** 11'h003: data = 8'b00000000; // 58 1 ***0*** 11'h004: data = 8'b00000000; // 59 1 ***0*** 11'h005: data = 8'b00000000; // 60 1 ***0*** 11'h006: data = 8'b00000000; // 61 1 ***0*** 11'h007: data = 8'b00000000; // 62 1 ***0*** 11'h008: data = 8'b00000000; // 63 1 ***0*** 11'h009: data = 8'b00000000; // 64 1 ***0*** 11'h00a: data = 8'b00000000; // 65 1 ***0*** 11'h00b: data = 8'b00000000; // 66 1 ***0*** 11'h00c: data = 8'b00000000; // 67 1 ***0*** 11'h00d: data = 8'b00000000; // 68 1 ***0*** 11'h00e: data = 8'b00000000; // 69 1 ***0*** 11'h00f: data = 8'b00000000; // 71 1 43 11'h010: data = 8'b00000000; // 72 1 1 11'h011: data = 8'b00000000; // 73 1 1 11'h012: data = 8'b01111110; // ****** 74 1 1 11'h013: data = 8'b10000001; // * * 75 1 1 11'h014: data = 8'b10100101; // * * * * 76 1 1 11'h015: data = 8'b10000001; // * * 77 1 1 11'h016: data = 8'b10000001; // * * 78 1 1 11'h017: data = 8'b10111101; // * **** * 79 1 1 11'h018: data = 8'b10011001; // * ** * 80 1 1 11'h019: data = 8'b10000001; // * * 81 1 1 11'h01a: data = 8'b10000001; // * * 82 1 1 11'h01b: data = 8'b01111110; // ****** 83 1 1 11'h01c: data = 8'b00000000; // 84 1 1 11'h01d: data = 8'b00000000; // 85 1 1 11'h01e: data = 8'b00000000; // 86 1 1 11'h01f: data = 8'b00000000; // 88 1 ***0*** 11'h020: data = 8'b00000000; // 89 1 ***0*** 11'h021: data = 8'b00000000; // 90 1 ***0*** 11'h022: data = 8'b01111110; // ****** 91 1 ***0*** 11'h023: data = 8'b11111111; // ******** 92 1 ***0*** 11'h024: data = 8'b11011011; // ** ** ** 93 1 ***0*** 11'h025: data = 8'b11111111; // ******** 94 1 ***0*** 11'h026: data = 8'b11111111; // ******** 95 1 ***0*** 11'h027: data = 8'b11000011; // ** ** 96 1 ***0*** 11'h028: data = 8'b11100111; // *** *** 97 1 ***0*** 11'h029: data = 8'b11111111; // ******** 98 1 ***0*** 11'h02a: data = 8'b11111111; // ******** 99 1 ***0*** 11'h02b: data = 8'b01111110; // ****** 100 1 ***0*** 11'h02c: data = 8'b00000000; // 101 1 ***0*** 11'h02d: data = 8'b00000000; // 102 1 ***0*** 11'h02e: data = 8'b00000000; // 103 1 ***0*** 11'h02f: data = 8'b00000000; // 105 1 ***0*** 11'h030: data = 8'b00000000; // 106 1 ***0*** 11'h031: data = 8'b00000000; // 107 1 ***0*** 11'h032: data = 8'b00000000; // 108 1 ***0*** 11'h033: data = 8'b00000000; // 109 1 ***0*** 11'h034: data = 8'b01101100; // ** ** 110 1 ***0*** 11'h035: data = 8'b11111110; // ******* 111 1 ***0*** 11'h036: data = 8'b11111110; // ******* 112 1 ***0*** 11'h037: data = 8'b11111110; // ******* 113 1 ***0*** 11'h038: data = 8'b11111110; // ******* 114 1 ***0*** 11'h039: data = 8'b01111100; // ***** 115 1 ***0*** 11'h03a: data = 8'b00111000; // *** 116 1 ***0*** 11'h03b: data = 8'b00010000; // * 117 1 ***0*** 11'h03c: data = 8'b00000000; // 118 1 ***0*** 11'h03d: data = 8'b00000000; // 119 1 ***0*** 11'h03e: data = 8'b00000000; // 120 1 ***0*** 11'h03f: data = 8'b00000000; // 122 1 ***0*** 11'h040: data = 8'b00000000; // 123 1 ***0*** 11'h041: data = 8'b00000000; // 124 1 ***0*** 11'h042: data = 8'b00000000; // 125 1 ***0*** 11'h043: data = 8'b00000000; // 126 1 ***0*** 11'h044: data = 8'b00010000; // * 127 1 ***0*** 11'h045: data = 8'b00111000; // *** 128 1 ***0*** 11'h046: data = 8'b01111100; // ***** 129 1 ***0*** 11'h047: data = 8'b11111110; // ******* 130 1 ***0*** 11'h048: data = 8'b01111100; // ***** 131 1 ***0*** 11'h049: data = 8'b00111000; // *** 132 1 ***0*** 11'h04a: data = 8'b00010000; // * 133 1 ***0*** 11'h04b: data = 8'b00000000; // 134 1 ***0*** 11'h04c: data = 8'b00000000; // 135 1 ***0*** 11'h04d: data = 8'b00000000; // 136 1 ***0*** 11'h04e: data = 8'b00000000; // 137 1 ***0*** 11'h04f: data = 8'b00000000; // 139 1 ***0*** 11'h050: data = 8'b00000000; // 140 1 ***0*** 11'h051: data = 8'b00000000; // 141 1 ***0*** 11'h052: data = 8'b00000000; // 142 1 ***0*** 11'h053: data = 8'b00011000; // ** 143 1 ***0*** 11'h054: data = 8'b00111100; // **** 144 1 ***0*** 11'h055: data = 8'b00111100; // **** 145 1 ***0*** 11'h056: data = 8'b11100111; // *** *** 146 1 ***0*** 11'h057: data = 8'b11100111; // *** *** 147 1 ***0*** 11'h058: data = 8'b11100111; // *** *** 148 1 ***0*** 11'h059: data = 8'b00011000; // ** 149 1 ***0*** 11'h05a: data = 8'b00011000; // ** 150 1 ***0*** 11'h05b: data = 8'b00111100; // **** 151 1 ***0*** 11'h05c: data = 8'b00000000; // 152 1 ***0*** 11'h05d: data = 8'b00000000; // 153 1 ***0*** 11'h05e: data = 8'b00000000; // 154 1 ***0*** 11'h05f: data = 8'b00000000; // 156 1 43 11'h060: data = 8'b00000000; // 157 1 1 11'h061: data = 8'b00000000; // 158 1 1 11'h062: data = 8'b00000000; // 159 1 1 11'h063: data = 8'b00011000; // ** 160 1 1 11'h064: data = 8'b00111100; // **** 161 1 1 11'h065: data = 8'b01111110; // ****** 162 1 1 11'h066: data = 8'b11111111; // ******** 163 1 1 11'h067: data = 8'b11111111; // ******** 164 1 1 11'h068: data = 8'b01111110; // ****** 165 1 1 11'h069: data = 8'b00011000; // ** 166 1 1 11'h06a: data = 8'b00011000; // ** 167 1 1 11'h06b: data = 8'b00111100; // **** 168 1 1 11'h06c: data = 8'b00000000; // 169 1 1 11'h06d: data = 8'b00000000; // 170 1 1 11'h06e: data = 8'b00000000; // 171 1 1 11'h06f: data = 8'b00000000; // 173 1 ***0*** 11'h070: data = 8'b00000000; // 174 1 ***0*** 11'h071: data = 8'b00000000; // 175 1 ***0*** 11'h072: data = 8'b00000000; // 176 1 ***0*** 11'h073: data = 8'b00000000; // 177 1 ***0*** 11'h074: data = 8'b00000000; // 178 1 ***0*** 11'h075: data = 8'b00000000; // 179 1 ***0*** 11'h076: data = 8'b00011000; // ** 180 1 ***0*** 11'h077: data = 8'b00111100; // **** 181 1 ***0*** 11'h078: data = 8'b00111100; // **** 182 1 ***0*** 11'h079: data = 8'b00011000; // ** 183 1 ***0*** 11'h07a: data = 8'b00000000; // 184 1 ***0*** 11'h07b: data = 8'b00000000; // 185 1 ***0*** 11'h07c: data = 8'b00000000; // 186 1 ***0*** 11'h07d: data = 8'b00000000; // 187 1 ***0*** 11'h07e: data = 8'b00000000; // 188 1 ***0*** 11'h07f: data = 8'b00000000; // 190 1 ***0*** 11'h080: data = 8'b00000000; // 191 1 ***0*** 11'h081: data = 8'b00000000; // 192 1 ***0*** 11'h082: data = 8'b00000000; // 193 1 ***0*** 11'h083: data = 8'b00000000; // 194 1 ***0*** 11'h084: data = 8'b00000000; // 195 1 ***0*** 11'h085: data = 8'b00000000; // 196 1 ***0*** 11'h086: data = 8'b00000000; // 197 1 ***0*** 11'h087: data = 8'b00000000; // 198 1 ***0*** 11'h088: data = 8'b00000000; // 199 1 ***0*** 11'h089: data = 8'b00000000; // 200 1 ***0*** 11'h08a: data = 8'b00000000; // 201 1 ***0*** 11'h08b: data = 8'b00000000; // 202 1 ***0*** 11'h08c: data = 8'b00000000; // 203 1 ***0*** 11'h08d: data = 8'b00000000; // 204 1 ***0*** 11'h08e: data = 8'b00000000; // 205 1 ***0*** 11'h08f: data = 8'b00000000; // 207 1 ***0*** 11'h090: data = 8'b00000000; // 208 1 ***0*** 11'h091: data = 8'b00000000; // 209 1 ***0*** 11'h092: data = 8'b00000000; // 210 1 ***0*** 11'h093: data = 8'b00000000; // 211 1 ***0*** 11'h094: data = 8'b00000000; // 212 1 ***0*** 11'h095: data = 8'b00111100; // **** 213 1 ***0*** 11'h096: data = 8'b01100110; // ** ** 214 1 ***0*** 11'h097: data = 8'b01000010; // * * 215 1 ***0*** 11'h098: data = 8'b01000010; // * * 216 1 ***0*** 11'h099: data = 8'b01100110; // ** ** 217 1 ***0*** 11'h09a: data = 8'b00111100; // **** 218 1 ***0*** 11'h09b: data = 8'b00000000; // 219 1 ***0*** 11'h09c: data = 8'b00000000; // 220 1 ***0*** 11'h09d: data = 8'b00000000; // 221 1 ***0*** 11'h09e: data = 8'b00000000; // 222 1 ***0*** 11'h09f: data = 8'b00000000; // 224 1 ***0*** 11'h0a0: data = 8'b00000000; // 225 1 ***0*** 11'h0a1: data = 8'b00000000; // 226 1 ***0*** 11'h0a2: data = 8'b00000000; // 227 1 ***0*** 11'h0a3: data = 8'b00000000; // 228 1 ***0*** 11'h0a4: data = 8'b00000000; // 229 1 ***0*** 11'h0a5: data = 8'b00000000; // 230 1 ***0*** 11'h0a6: data = 8'b00000000; // 231 1 ***0*** 11'h0a7: data = 8'b00000000; // 232 1 ***0*** 11'h0a8: data = 8'b00000000; // 233 1 ***0*** 11'h0a9: data = 8'b00000000; // 234 1 ***0*** 11'h0aa: data = 8'b00000000; // 235 1 ***0*** 11'h0ab: data = 8'b00000000; // 236 1 ***0*** 11'h0ac: data = 8'b00000000; // 237 1 ***0*** 11'h0ad: data = 8'b00000000; // 238 1 ***0*** 11'h0ae: data = 8'b00000000; // 239 1 ***0*** 11'h0af: data = 8'b00000000; // 241 1 43 11'h0b0: data = 8'b00000000; // 242 1 1 11'h0b1: data = 8'b00000000; // 243 1 1 11'h0b2: data = 8'b00011110; // **** 244 1 1 11'h0b3: data = 8'b00001110; // *** 245 1 1 11'h0b4: data = 8'b00011010; // ** * 246 1 1 11'h0b5: data = 8'b00110010; // ** * 247 1 1 11'h0b6: data = 8'b01111000; // **** 248 1 1 11'h0b7: data = 8'b11001100; // ** ** 249 1 1 11'h0b8: data = 8'b11001100; // ** ** 250 1 1 11'h0b9: data = 8'b11001100; // ** ** 251 1 1 11'h0ba: data = 8'b11001100; // ** ** 252 1 1 11'h0bb: data = 8'b01111000; // **** 253 1 1 11'h0bc: data = 8'b00000000; // 254 1 1 11'h0bd: data = 8'b00000000; // 255 1 1 11'h0be: data = 8'b00000000; // 256 1 1 11'h0bf: data = 8'b00000000; // 258 1 ***0*** 11'h0c0: data = 8'b00000000; // 259 1 ***0*** 11'h0c1: data = 8'b00000000; // 260 1 ***0*** 11'h0c2: data = 8'b00111100; // **** 261 1 ***0*** 11'h0c3: data = 8'b01100110; // ** ** 262 1 ***0*** 11'h0c4: data = 8'b01100110; // ** ** 263 1 ***0*** 11'h0c5: data = 8'b01100110; // ** ** 264 1 ***0*** 11'h0c6: data = 8'b01100110; // ** ** 265 1 ***0*** 11'h0c7: data = 8'b00111100; // **** 266 1 ***0*** 11'h0c8: data = 8'b00011000; // ** 267 1 ***0*** 11'h0c9: data = 8'b01111110; // ****** 268 1 ***0*** 11'h0ca: data = 8'b00011000; // ** 269 1 ***0*** 11'h0cb: data = 8'b00011000; // ** 270 1 ***0*** 11'h0cc: data = 8'b00000000; // 271 1 ***0*** 11'h0cd: data = 8'b00000000; // 272 1 ***0*** 11'h0ce: data = 8'b00000000; // 273 1 ***0*** 11'h0cf: data = 8'b00000000; // 275 1 ***0*** 11'h0d0: data = 8'b00000000; // 276 1 ***0*** 11'h0d1: data = 8'b00000000; // 277 1 ***0*** 11'h0d2: data = 8'b00000000; // 278 1 ***0*** 11'h0d3: data = 8'b00000000; // 279 1 ***0*** 11'h0d4: data = 8'b00000000; // 280 1 ***0*** 11'h0d5: data = 8'b00000000; // 281 1 ***0*** 11'h0d6: data = 8'b00000000; // 282 1 ***0*** 11'h0d7: data = 8'b00000000; // 283 1 ***0*** 11'h0d8: data = 8'b00000000; // 284 1 ***0*** 11'h0d9: data = 8'b00000000; // 285 1 ***0*** 11'h0da: data = 8'b00000000; // 286 1 ***0*** 11'h0db: data = 8'b00000000; // 287 1 ***0*** 11'h0dc: data = 8'b00000000; // 288 1 ***0*** 11'h0dd: data = 8'b00000000; // 289 1 ***0*** 11'h0de: data = 8'b00000000; // 290 1 ***0*** 11'h0df: data = 8'b00000000; // 292 1 43 11'h0e0: data = 8'b00000000; // 293 1 1 11'h0e1: data = 8'b00000000; // 294 1 1 11'h0e2: data = 8'b01111111; // ******* 295 1 1 11'h0e3: data = 8'b01100011; // ** ** 296 1 1 11'h0e4: data = 8'b01111111; // ******* 297 1 1 11'h0e5: data = 8'b01100011; // ** ** 298 1 1 11'h0e6: data = 8'b01100011; // ** ** 299 1 1 11'h0e7: data = 8'b01100011; // ** ** 300 1 1 11'h0e8: data = 8'b01100011; // ** ** 301 1 1 11'h0e9: data = 8'b01100111; // ** *** 302 1 1 11'h0ea: data = 8'b11100111; // *** *** 303 1 1 11'h0eb: data = 8'b11100110; // *** ** 304 1 1 11'h0ec: data = 8'b11000000; // ** 305 1 1 11'h0ed: data = 8'b00000000; // 306 1 1 11'h0ee: data = 8'b00000000; // 307 1 1 11'h0ef: data = 8'b00000000; // 309 1 ***0*** 11'h0f0: data = 8'b00000000; // 310 1 ***0*** 11'h0f1: data = 8'b00000000; // 311 1 ***0*** 11'h0f2: data = 8'b00000000; // 312 1 ***0*** 11'h0f3: data = 8'b00011000; // ** 313 1 ***0*** 11'h0f4: data = 8'b00011000; // ** 314 1 ***0*** 11'h0f5: data = 8'b11011011; // ** ** ** 315 1 ***0*** 11'h0f6: data = 8'b00111100; // **** 316 1 ***0*** 11'h0f7: data = 8'b11100111; // *** *** 317 1 ***0*** 11'h0f8: data = 8'b00111100; // **** 318 1 ***0*** 11'h0f9: data = 8'b11011011; // ** ** ** 319 1 ***0*** 11'h0fa: data = 8'b00011000; // ** 320 1 ***0*** 11'h0fb: data = 8'b00011000; // ** 321 1 ***0*** 11'h0fc: data = 8'b00000000; // 322 1 ***0*** 11'h0fd: data = 8'b00000000; // 323 1 ***0*** 11'h0fe: data = 8'b00000000; // 324 1 ***0*** 11'h0ff: data = 8'b00000000; // 326 1 ***0*** 11'h100: data = 8'b00000000; // 327 1 ***0*** 11'h101: data = 8'b10000000; // * 328 1 ***0*** 11'h102: data = 8'b11000000; // ** 329 1 ***0*** 11'h103: data = 8'b11100000; // *** 330 1 ***0*** 11'h104: data = 8'b11110000; // **** 331 1 ***0*** 11'h105: data = 8'b11111000; // ***** 332 1 ***0*** 11'h106: data = 8'b11111110; // ******* 333 1 ***0*** 11'h107: data = 8'b11111000; // ***** 334 1 ***0*** 11'h108: data = 8'b11110000; // **** 335 1 ***0*** 11'h109: data = 8'b11100000; // *** 336 1 ***0*** 11'h10a: data = 8'b11000000; // ** 337 1 ***0*** 11'h10b: data = 8'b10000000; // * 338 1 ***0*** 11'h10c: data = 8'b00000000; // 339 1 ***0*** 11'h10d: data = 8'b00000000; // 340 1 ***0*** 11'h10e: data = 8'b00000000; // 341 1 ***0*** 11'h10f: data = 8'b00000000; // 343 1 43 11'h110: data = 8'b00000000; // 344 1 1 11'h111: data = 8'b00000010; // * 345 1 1 11'h112: data = 8'b00000110; // ** 346 1 1 11'h113: data = 8'b00001110; // *** 347 1 1 11'h114: data = 8'b00011110; // **** 348 1 1 11'h115: data = 8'b00111110; // ***** 349 1 1 11'h116: data = 8'b11111110; // ******* 350 1 1 11'h117: data = 8'b00111110; // ***** 351 1 1 11'h118: data = 8'b00011110; // **** 352 1 1 11'h119: data = 8'b00001110; // *** 353 1 1 11'h11a: data = 8'b00000110; // ** 354 1 1 11'h11b: data = 8'b00000010; // * 355 1 1 11'h11c: data = 8'b00000000; // 356 1 1 11'h11d: data = 8'b00000000; // 357 1 1 11'h11e: data = 8'b00000000; // 358 1 1 11'h11f: data = 8'b00000000; // 360 1 ***0*** 11'h120: data = 8'b00000000; // 361 1 ***0*** 11'h121: data = 8'b00000000; // 362 1 ***0*** 11'h122: data = 8'b00011000; // ** 363 1 ***0*** 11'h123: data = 8'b00111100; // **** 364 1 ***0*** 11'h124: data = 8'b01111110; // ****** 365 1 ***0*** 11'h125: data = 8'b00011000; // ** 366 1 ***0*** 11'h126: data = 8'b00011000; // ** 367 1 ***0*** 11'h127: data = 8'b00011000; // ** 368 1 ***0*** 11'h128: data = 8'b01111110; // ****** 369 1 ***0*** 11'h129: data = 8'b00111100; // **** 370 1 ***0*** 11'h12a: data = 8'b00011000; // ** 371 1 ***0*** 11'h12b: data = 8'b00000000; // 372 1 ***0*** 11'h12c: data = 8'b00000000; // 373 1 ***0*** 11'h12d: data = 8'b00000000; // 374 1 ***0*** 11'h12e: data = 8'b00000000; // 375 1 ***0*** 11'h12f: data = 8'b00000000; // 377 1 ***0*** 11'h130: data = 8'b00000000; // 378 1 ***0*** 11'h131: data = 8'b00000000; // 379 1 ***0*** 11'h132: data = 8'b01100110; // ** ** 380 1 ***0*** 11'h133: data = 8'b01100110; // ** ** 381 1 ***0*** 11'h134: data = 8'b01100110; // ** ** 382 1 ***0*** 11'h135: data = 8'b01100110; // ** ** 383 1 ***0*** 11'h136: data = 8'b01100110; // ** ** 384 1 ***0*** 11'h137: data = 8'b01100110; // ** ** 385 1 ***0*** 11'h138: data = 8'b01100110; // ** ** 386 1 ***0*** 11'h139: data = 8'b00000000; // 387 1 ***0*** 11'h13a: data = 8'b01100110; // ** ** 388 1 ***0*** 11'h13b: data = 8'b01100110; // ** ** 389 1 ***0*** 11'h13c: data = 8'b00000000; // 390 1 ***0*** 11'h13d: data = 8'b00000000; // 391 1 ***0*** 11'h13e: data = 8'b00000000; // 392 1 ***0*** 11'h13f: data = 8'b00000000; // 394 1 43 11'h140: data = 8'b00000000; // 395 1 1 11'h141: data = 8'b00000000; // 396 1 1 11'h142: data = 8'b01111111; // ******* 397 1 1 11'h143: data = 8'b11011011; // ** ** ** 398 1 1 11'h144: data = 8'b11011011; // ** ** ** 399 1 1 11'h145: data = 8'b11011011; // ** ** ** 400 1 1 11'h146: data = 8'b01111011; // **** ** 401 1 1 11'h147: data = 8'b00011011; // ** ** 402 1 1 11'h148: data = 8'b00011011; // ** ** 403 1 1 11'h149: data = 8'b00011011; // ** ** 404 1 1 11'h14a: data = 8'b00011011; // ** ** 405 1 1 11'h14b: data = 8'b00011011; // ** ** 406 1 1 11'h14c: data = 8'b00000000; // 407 1 1 11'h14d: data = 8'b00000000; // 408 1 1 11'h14e: data = 8'b00000000; // 409 1 1 11'h14f: data = 8'b00000000; // 411 1 ***0*** 11'h150: data = 8'b00000000; // 412 1 ***0*** 11'h151: data = 8'b01111100; // ***** 413 1 ***0*** 11'h152: data = 8'b11000110; // ** ** 414 1 ***0*** 11'h153: data = 8'b01100000; // ** 415 1 ***0*** 11'h154: data = 8'b00111000; // *** 416 1 ***0*** 11'h155: data = 8'b01101100; // ** ** 417 1 ***0*** 11'h156: data = 8'b11000110; // ** ** 418 1 ***0*** 11'h157: data = 8'b11000110; // ** ** 419 1 ***0*** 11'h158: data = 8'b01101100; // ** ** 420 1 ***0*** 11'h159: data = 8'b00111000; // *** 421 1 ***0*** 11'h15a: data = 8'b00001100; // ** 422 1 ***0*** 11'h15b: data = 8'b11000110; // ** ** 423 1 ***0*** 11'h15c: data = 8'b01111100; // ***** 424 1 ***0*** 11'h15d: data = 8'b00000000; // 425 1 ***0*** 11'h15e: data = 8'b00000000; // 426 1 ***0*** 11'h15f: data = 8'b00000000; // 428 1 ***0*** 11'h160: data = 8'b00000000; // 429 1 ***0*** 11'h161: data = 8'b00000000; // 430 1 ***0*** 11'h162: data = 8'b00000000; // 431 1 ***0*** 11'h163: data = 8'b00000000; // 432 1 ***0*** 11'h164: data = 8'b00000000; // 433 1 ***0*** 11'h165: data = 8'b00000000; // 434 1 ***0*** 11'h166: data = 8'b00000000; // 435 1 ***0*** 11'h167: data = 8'b00000000; // 436 1 ***0*** 11'h168: data = 8'b11111110; // ******* 437 1 ***0*** 11'h169: data = 8'b11111110; // ******* 438 1 ***0*** 11'h16a: data = 8'b11111110; // ******* 439 1 ***0*** 11'h16b: data = 8'b11111110; // ******* 440 1 ***0*** 11'h16c: data = 8'b00000000; // 441 1 ***0*** 11'h16d: data = 8'b00000000; // 442 1 ***0*** 11'h16e: data = 8'b00000000; // 443 1 ***0*** 11'h16f: data = 8'b00000000; // 445 1 ***0*** 11'h170: data = 8'b00000000; // 446 1 ***0*** 11'h171: data = 8'b00000000; // 447 1 ***0*** 11'h172: data = 8'b00011000; // ** 448 1 ***0*** 11'h173: data = 8'b00111100; // **** 449 1 ***0*** 11'h174: data = 8'b01111110; // ****** 450 1 ***0*** 11'h175: data = 8'b00011000; // ** 451 1 ***0*** 11'h176: data = 8'b00011000; // ** 452 1 ***0*** 11'h177: data = 8'b00011000; // ** 453 1 ***0*** 11'h178: data = 8'b01111110; // ****** 454 1 ***0*** 11'h179: data = 8'b00111100; // **** 455 1 ***0*** 11'h17a: data = 8'b00011000; // ** 456 1 ***0*** 11'h17b: data = 8'b01111110; // ****** 457 1 ***0*** 11'h17c: data = 8'b00110000; // 458 1 ***0*** 11'h17d: data = 8'b00000000; // 459 1 ***0*** 11'h17e: data = 8'b00000000; // 460 1 ***0*** 11'h17f: data = 8'b00000000; // 462 1 ***0*** 11'h180: data = 8'b00000000; // 463 1 ***0*** 11'h181: data = 8'b00000000; // 464 1 ***0*** 11'h182: data = 8'b00011000; // ** 465 1 ***0*** 11'h183: data = 8'b00111100; // **** 466 1 ***0*** 11'h184: data = 8'b01111110; // ****** 467 1 ***0*** 11'h185: data = 8'b00011000; // ** 468 1 ***0*** 11'h186: data = 8'b00011000; // ** 469 1 ***0*** 11'h187: data = 8'b00011000; // ** 470 1 ***0*** 11'h188: data = 8'b00011000; // ** 471 1 ***0*** 11'h189: data = 8'b00011000; // ** 472 1 ***0*** 11'h18a: data = 8'b00011000; // ** 473 1 ***0*** 11'h18b: data = 8'b00011000; // ** 474 1 ***0*** 11'h18c: data = 8'b00000000; // 475 1 ***0*** 11'h18d: data = 8'b00000000; // 476 1 ***0*** 11'h18e: data = 8'b00000000; // 477 1 ***0*** 11'h18f: data = 8'b00000000; // 479 1 43 11'h190: data = 8'b00000000; // 480 1 1 11'h191: data = 8'b00000000; // 481 1 1 11'h192: data = 8'b00011000; // ** 482 1 1 11'h193: data = 8'b00011000; // ** 483 1 1 11'h194: data = 8'b00011000; // ** 484 1 1 11'h195: data = 8'b00011000; // ** 485 1 1 11'h196: data = 8'b00011000; // ** 486 1 1 11'h197: data = 8'b00011000; // ** 487 1 1 11'h198: data = 8'b00011000; // ** 488 1 1 11'h199: data = 8'b01111110; // ****** 489 1 1 11'h19a: data = 8'b00111100; // **** 490 1 1 11'h19b: data = 8'b00011000; // ** 491 1 1 11'h19c: data = 8'b00000000; // 492 1 1 11'h19d: data = 8'b00000000; // 493 1 1 11'h19e: data = 8'b00000000; // 494 1 1 11'h19f: data = 8'b00000000; // 496 1 ***0*** 11'h1a0: data = 8'b00000000; // 497 1 ***0*** 11'h1a1: data = 8'b00000000; // 498 1 ***0*** 11'h1a2: data = 8'b00000000; // 499 1 ***0*** 11'h1a3: data = 8'b00000000; // 500 1 ***0*** 11'h1a4: data = 8'b00000000; // 501 1 ***0*** 11'h1a5: data = 8'b00011000; // ** 502 1 ***0*** 11'h1a6: data = 8'b00001100; // ** 503 1 ***0*** 11'h1a7: data = 8'b11111110; // ******* 504 1 ***0*** 11'h1a8: data = 8'b00001100; // ** 505 1 ***0*** 11'h1a9: data = 8'b00011000; // ** 506 1 ***0*** 11'h1aa: data = 8'b00000000; // 507 1 ***0*** 11'h1ab: data = 8'b00000000; // 508 1 ***0*** 11'h1ac: data = 8'b00000000; // 509 1 ***0*** 11'h1ad: data = 8'b00000000; // 510 1 ***0*** 11'h1ae: data = 8'b00000000; // 511 1 ***0*** 11'h1af: data = 8'b00000000; // 513 1 ***0*** 11'h1b0: data = 8'b00000000; // 514 1 ***0*** 11'h1b1: data = 8'b00000000; // 515 1 ***0*** 11'h1b2: data = 8'b00000000; // 516 1 ***0*** 11'h1b3: data = 8'b00000000; // 517 1 ***0*** 11'h1b4: data = 8'b00000000; // 518 1 ***0*** 11'h1b5: data = 8'b00110000; // ** 519 1 ***0*** 11'h1b6: data = 8'b01100000; // ** 520 1 ***0*** 11'h1b7: data = 8'b11111110; // ******* 521 1 ***0*** 11'h1b8: data = 8'b01100000; // ** 522 1 ***0*** 11'h1b9: data = 8'b00110000; // ** 523 1 ***0*** 11'h1ba: data = 8'b00000000; // 524 1 ***0*** 11'h1bb: data = 8'b00000000; // 525 1 ***0*** 11'h1bc: data = 8'b00000000; // 526 1 ***0*** 11'h1bd: data = 8'b00000000; // 527 1 ***0*** 11'h1be: data = 8'b00000000; // 528 1 ***0*** 11'h1bf: data = 8'b00000000; // 530 1 ***0*** 11'h1c0: data = 8'b00000000; // 531 1 ***0*** 11'h1c1: data = 8'b00000000; // 532 1 ***0*** 11'h1c2: data = 8'b00000000; // 533 1 ***0*** 11'h1c3: data = 8'b00000000; // 534 1 ***0*** 11'h1c4: data = 8'b00000000; // 535 1 ***0*** 11'h1c5: data = 8'b00000000; // 536 1 ***0*** 11'h1c6: data = 8'b11000000; // ** 537 1 ***0*** 11'h1c7: data = 8'b11000000; // ** 538 1 ***0*** 11'h1c8: data = 8'b11000000; // ** 539 1 ***0*** 11'h1c9: data = 8'b11111110; // ******* 540 1 ***0*** 11'h1ca: data = 8'b00000000; // 541 1 ***0*** 11'h1cb: data = 8'b00000000; // 542 1 ***0*** 11'h1cc: data = 8'b00000000; // 543 1 ***0*** 11'h1cd: data = 8'b00000000; // 544 1 ***0*** 11'h1ce: data = 8'b00000000; // 545 1 ***0*** 11'h1cf: data = 8'b00000000; // 547 1 ***0*** 11'h1d0: data = 8'b00000000; // 548 1 ***0*** 11'h1d1: data = 8'b00000000; // 549 1 ***0*** 11'h1d2: data = 8'b00000000; // 550 1 ***0*** 11'h1d3: data = 8'b00000000; // 551 1 ***0*** 11'h1d4: data = 8'b00000000; // 552 1 ***0*** 11'h1d5: data = 8'b00100100; // * * 553 1 ***0*** 11'h1d6: data = 8'b01100110; // ** ** 554 1 ***0*** 11'h1d7: data = 8'b11111111; // ******** 555 1 ***0*** 11'h1d8: data = 8'b01100110; // ** ** 556 1 ***0*** 11'h1d9: data = 8'b00100100; // * * 557 1 ***0*** 11'h1da: data = 8'b00000000; // 558 1 ***0*** 11'h1db: data = 8'b00000000; // 559 1 ***0*** 11'h1dc: data = 8'b00000000; // 560 1 ***0*** 11'h1dd: data = 8'b00000000; // 561 1 ***0*** 11'h1de: data = 8'b00000000; // 562 1 ***0*** 11'h1df: data = 8'b00000000; // 564 1 43 11'h1e0: data = 8'b00000000; // 565 1 1 11'h1e1: data = 8'b00000000; // 566 1 1 11'h1e2: data = 8'b00000000; // 567 1 1 11'h1e3: data = 8'b00000000; // 568 1 1 11'h1e4: data = 8'b00010000; // * 569 1 1 11'h1e5: data = 8'b00111000; // *** 570 1 1 11'h1e6: data = 8'b00111000; // *** 571 1 1 11'h1e7: data = 8'b01111100; // ***** 572 1 1 11'h1e8: data = 8'b01111100; // ***** 573 1 1 11'h1e9: data = 8'b11111110; // ******* 574 1 1 11'h1ea: data = 8'b11111110; // ******* 575 1 1 11'h1eb: data = 8'b00000000; // 576 1 1 11'h1ec: data = 8'b00000000; // 577 1 1 11'h1ed: data = 8'b00000000; // 578 1 1 11'h1ee: data = 8'b00000000; // 579 1 1 11'h1ef: data = 8'b00000000; // 581 1 ***0*** 11'h1f0: data = 8'b00000000; // 582 1 ***0*** 11'h1f1: data = 8'b00000000; // 583 1 ***0*** 11'h1f2: data = 8'b00000000; // 584 1 ***0*** 11'h1f3: data = 8'b00000000; // 585 1 ***0*** 11'h1f4: data = 8'b11111110; // ******* 586 1 ***0*** 11'h1f5: data = 8'b11111110; // ******* 587 1 ***0*** 11'h1f6: data = 8'b01111100; // ***** 588 1 ***0*** 11'h1f7: data = 8'b01111100; // ***** 589 1 ***0*** 11'h1f8: data = 8'b00111000; // *** 590 1 ***0*** 11'h1f9: data = 8'b00111000; // *** 591 1 ***0*** 11'h1fa: data = 8'b00010000; // * 592 1 ***0*** 11'h1fb: data = 8'b00000000; // 593 1 ***0*** 11'h1fc: data = 8'b00000000; // 594 1 ***0*** 11'h1fd: data = 8'b00000000; // 595 1 ***0*** 11'h1fe: data = 8'b00000000; // 596 1 ***0*** 11'h1ff: data = 8'b00000000; // 598 1 43 11'h200: data = 8'b00000000; // 599 1 1 11'h201: data = 8'b00000000; // 600 1 1 11'h202: data = 8'b00000000; // 601 1 1 11'h203: data = 8'b00000000; // 602 1 1 11'h204: data = 8'b00000000; // 603 1 1 11'h205: data = 8'b00000000; // 604 1 1 11'h206: data = 8'b00000000; // 605 1 1 11'h207: data = 8'b00000000; // 606 1 1 11'h208: data = 8'b00000000; // 607 1 1 11'h209: data = 8'b00000000; // 608 1 1 11'h20a: data = 8'b00000000; // 609 1 1 11'h20b: data = 8'b00000000; // 610 1 1 11'h20c: data = 8'b00000000; // 611 1 1 11'h20d: data = 8'b00000000; // 612 1 1 11'h20e: data = 8'b00000000; // 613 1 1 11'h20f: data = 8'b00000000; // 615 1 ***0*** 11'h210: data = 8'b00000000; // 616 1 ***0*** 11'h211: data = 8'b00000000; // 617 1 ***0*** 11'h212: data = 8'b00011000; // ** 618 1 ***0*** 11'h213: data = 8'b00111100; // **** 619 1 ***0*** 11'h214: data = 8'b00111100; // **** 620 1 ***0*** 11'h215: data = 8'b00111100; // **** 621 1 ***0*** 11'h216: data = 8'b00011000; // ** 622 1 ***0*** 11'h217: data = 8'b00011000; // ** 623 1 ***0*** 11'h218: data = 8'b00011000; // ** 624 1 ***0*** 11'h219: data = 8'b00000000; // 625 1 ***0*** 11'h21a: data = 8'b00011000; // ** 626 1 ***0*** 11'h21b: data = 8'b00011000; // ** 627 1 ***0*** 11'h21c: data = 8'b00000000; // 628 1 ***0*** 11'h21d: data = 8'b00000000; // 629 1 ***0*** 11'h21e: data = 8'b00000000; // 630 1 ***0*** 11'h21f: data = 8'b00000000; // 632 1 ***0*** 11'h220: data = 8'b00000000; // 633 1 ***0*** 11'h221: data = 8'b01100110; // ** ** 634 1 ***0*** 11'h222: data = 8'b01100110; // ** ** 635 1 ***0*** 11'h223: data = 8'b01100110; // ** ** 636 1 ***0*** 11'h224: data = 8'b00100100; // * * 637 1 ***0*** 11'h225: data = 8'b00000000; // 638 1 ***0*** 11'h226: data = 8'b00000000; // 639 1 ***0*** 11'h227: data = 8'b00000000; // 640 1 ***0*** 11'h228: data = 8'b00000000; // 641 1 ***0*** 11'h229: data = 8'b00000000; // 642 1 ***0*** 11'h22a: data = 8'b00000000; // 643 1 ***0*** 11'h22b: data = 8'b00000000; // 644 1 ***0*** 11'h22c: data = 8'b00000000; // 645 1 ***0*** 11'h22d: data = 8'b00000000; // 646 1 ***0*** 11'h22e: data = 8'b00000000; // 647 1 ***0*** 11'h22f: data = 8'b00000000; // 649 1 ***0*** 11'h230: data = 8'b00000000; // 650 1 ***0*** 11'h231: data = 8'b00000000; // 651 1 ***0*** 11'h232: data = 8'b00000000; // 652 1 ***0*** 11'h233: data = 8'b01101100; // ** ** 653 1 ***0*** 11'h234: data = 8'b01101100; // ** ** 654 1 ***0*** 11'h235: data = 8'b11111110; // ******* 655 1 ***0*** 11'h236: data = 8'b01101100; // ** ** 656 1 ***0*** 11'h237: data = 8'b01101100; // ** ** 657 1 ***0*** 11'h238: data = 8'b01101100; // ** ** 658 1 ***0*** 11'h239: data = 8'b11111110; // ******* 659 1 ***0*** 11'h23a: data = 8'b01101100; // ** ** 660 1 ***0*** 11'h23b: data = 8'b01101100; // ** ** 661 1 ***0*** 11'h23c: data = 8'b00000000; // 662 1 ***0*** 11'h23d: data = 8'b00000000; // 663 1 ***0*** 11'h23e: data = 8'b00000000; // 664 1 ***0*** 11'h23f: data = 8'b00000000; // 666 1 ***0*** 11'h240: data = 8'b00011000; // ** 667 1 ***0*** 11'h241: data = 8'b00011000; // ** 668 1 ***0*** 11'h242: data = 8'b01111100; // ***** 669 1 ***0*** 11'h243: data = 8'b11000110; // ** ** 670 1 ***0*** 11'h244: data = 8'b11000010; // ** * 671 1 ***0*** 11'h245: data = 8'b11000000; // ** 672 1 ***0*** 11'h246: data = 8'b01111100; // ***** 673 1 ***0*** 11'h247: data = 8'b00000110; // ** 674 1 ***0*** 11'h248: data = 8'b00000110; // ** 675 1 ***0*** 11'h249: data = 8'b10000110; // * ** 676 1 ***0*** 11'h24a: data = 8'b11000110; // ** ** 677 1 ***0*** 11'h24b: data = 8'b01111100; // ***** 678 1 ***0*** 11'h24c: data = 8'b00011000; // ** 679 1 ***0*** 11'h24d: data = 8'b00011000; // ** 680 1 ***0*** 11'h24e: data = 8'b00000000; // 681 1 ***0*** 11'h24f: data = 8'b00000000; // 683 1 ***0*** 11'h250: data = 8'b00000000; // 684 1 ***0*** 11'h251: data = 8'b00000000; // 685 1 ***0*** 11'h252: data = 8'b00000000; // 686 1 ***0*** 11'h253: data = 8'b00000000; // 687 1 ***0*** 11'h254: data = 8'b11000010; // ** * 688 1 ***0*** 11'h255: data = 8'b11000110; // ** ** 689 1 ***0*** 11'h256: data = 8'b00001100; // ** 690 1 ***0*** 11'h257: data = 8'b00011000; // ** 691 1 ***0*** 11'h258: data = 8'b00110000; // ** 692 1 ***0*** 11'h259: data = 8'b01100000; // ** 693 1 ***0*** 11'h25a: data = 8'b11000110; // ** ** 694 1 ***0*** 11'h25b: data = 8'b10000110; // * ** 695 1 ***0*** 11'h25c: data = 8'b00000000; // 696 1 ***0*** 11'h25d: data = 8'b00000000; // 697 1 ***0*** 11'h25e: data = 8'b00000000; // 698 1 ***0*** 11'h25f: data = 8'b00000000; // 700 1 ***0*** 11'h260: data = 8'b00000000; // 701 1 ***0*** 11'h261: data = 8'b00000000; // 702 1 ***0*** 11'h262: data = 8'b00111000; // *** 703 1 ***0*** 11'h263: data = 8'b01101100; // ** ** 704 1 ***0*** 11'h264: data = 8'b01101100; // ** ** 705 1 ***0*** 11'h265: data = 8'b00111000; // *** 706 1 ***0*** 11'h266: data = 8'b01110110; // *** ** 707 1 ***0*** 11'h267: data = 8'b11011100; // ** *** 708 1 ***0*** 11'h268: data = 8'b11001100; // ** ** 709 1 ***0*** 11'h269: data = 8'b11001100; // ** ** 710 1 ***0*** 11'h26a: data = 8'b11001100; // ** ** 711 1 ***0*** 11'h26b: data = 8'b01110110; // *** ** 712 1 ***0*** 11'h26c: data = 8'b00000000; // 713 1 ***0*** 11'h26d: data = 8'b00000000; // 714 1 ***0*** 11'h26e: data = 8'b00000000; // 715 1 ***0*** 11'h26f: data = 8'b00000000; // 717 1 43 11'h270: data = 8'b00000000; // 718 1 1 11'h271: data = 8'b00110000; // ** 719 1 1 11'h272: data = 8'b00110000; // ** 720 1 1 11'h273: data = 8'b00110000; // ** 721 1 1 11'h274: data = 8'b01100000; // ** 722 1 1 11'h275: data = 8'b00000000; // 723 1 1 11'h276: data = 8'b00000000; // 724 1 1 11'h277: data = 8'b00000000; // 725 1 1 11'h278: data = 8'b00000000; // 726 1 1 11'h279: data = 8'b00000000; // 727 1 1 11'h27a: data = 8'b00000000; // 728 1 1 11'h27b: data = 8'b00000000; // 729 1 1 11'h27c: data = 8'b00000000; // 730 1 1 11'h27d: data = 8'b00000000; // 731 1 1 11'h27e: data = 8'b00000000; // 732 1 1 11'h27f: data = 8'b00000000; // 734 1 ***0*** 11'h280: data = 8'b00000000; // 735 1 ***0*** 11'h281: data = 8'b00000000; // 736 1 ***0*** 11'h282: data = 8'b00001100; // ** 737 1 ***0*** 11'h283: data = 8'b00011000; // ** 738 1 ***0*** 11'h284: data = 8'b00110000; // ** 739 1 ***0*** 11'h285: data = 8'b00110000; // ** 740 1 ***0*** 11'h286: data = 8'b00110000; // ** 741 1 ***0*** 11'h287: data = 8'b00110000; // ** 742 1 ***0*** 11'h288: data = 8'b00110000; // ** 743 1 ***0*** 11'h289: data = 8'b00110000; // ** 744 1 ***0*** 11'h28a: data = 8'b00011000; // ** 745 1 ***0*** 11'h28b: data = 8'b00001100; // ** 746 1 ***0*** 11'h28c: data = 8'b00000000; // 747 1 ***0*** 11'h28d: data = 8'b00000000; // 748 1 ***0*** 11'h28e: data = 8'b00000000; // 749 1 ***0*** 11'h28f: data = 8'b00000000; // 751 1 ***0*** 11'h290: data = 8'b00000000; // 752 1 ***0*** 11'h291: data = 8'b00000000; // 753 1 ***0*** 11'h292: data = 8'b00110000; // ** 754 1 ***0*** 11'h293: data = 8'b00011000; // ** 755 1 ***0*** 11'h294: data = 8'b00001100; // ** 756 1 ***0*** 11'h295: data = 8'b00001100; // ** 757 1 ***0*** 11'h296: data = 8'b00001100; // ** 758 1 ***0*** 11'h297: data = 8'b00001100; // ** 759 1 ***0*** 11'h298: data = 8'b00001100; // ** 760 1 ***0*** 11'h299: data = 8'b00001100; // ** 761 1 ***0*** 11'h29a: data = 8'b00011000; // ** 762 1 ***0*** 11'h29b: data = 8'b00110000; // ** 763 1 ***0*** 11'h29c: data = 8'b00000000; // 764 1 ***0*** 11'h29d: data = 8'b00000000; // 765 1 ***0*** 11'h29e: data = 8'b00000000; // 766 1 ***0*** 11'h29f: data = 8'b00000000; // 768 1 43 11'h2a0: data = 8'b00000000; // 769 1 1 11'h2a1: data = 8'b00000000; // 770 1 1 11'h2a2: data = 8'b00000000; // 771 1 1 11'h2a3: data = 8'b00000000; // 772 1 1 11'h2a4: data = 8'b00000000; // 773 1 1 11'h2a5: data = 8'b01100110; // ** ** 774 1 1 11'h2a6: data = 8'b00111100; // **** 775 1 1 11'h2a7: data = 8'b11111111; // ******** 776 1 1 11'h2a8: data = 8'b00111100; // **** 777 1 1 11'h2a9: data = 8'b01100110; // ** ** 778 1 1 11'h2aa: data = 8'b00000000; // 779 1 1 11'h2ab: data = 8'b00000000; // 780 1 1 11'h2ac: data = 8'b00000000; // 781 1 1 11'h2ad: data = 8'b00000000; // 782 1 1 11'h2ae: data = 8'b00000000; // 783 1 1 11'h2af: data = 8'b00000000; // 785 1 ***0*** 11'h2b0: data = 8'b00000000; // 786 1 ***0*** 11'h2b1: data = 8'b00000000; // 787 1 ***0*** 11'h2b2: data = 8'b00000000; // 788 1 ***0*** 11'h2b3: data = 8'b00000000; // 789 1 ***0*** 11'h2b4: data = 8'b00000000; // 790 1 ***0*** 11'h2b5: data = 8'b00011000; // ** 791 1 ***0*** 11'h2b6: data = 8'b00011000; // ** 792 1 ***0*** 11'h2b7: data = 8'b01111110; // ****** 793 1 ***0*** 11'h2b8: data = 8'b00011000; // ** 794 1 ***0*** 11'h2b9: data = 8'b00011000; // ** 795 1 ***0*** 11'h2ba: data = 8'b00000000; // 796 1 ***0*** 11'h2bb: data = 8'b00000000; // 797 1 ***0*** 11'h2bc: data = 8'b00000000; // 798 1 ***0*** 11'h2bd: data = 8'b00000000; // 799 1 ***0*** 11'h2be: data = 8'b00000000; // 800 1 ***0*** 11'h2bf: data = 8'b00000000; // 802 1 ***0*** 11'h2c0: data = 8'b00000000; // 803 1 ***0*** 11'h2c1: data = 8'b00000000; // 804 1 ***0*** 11'h2c2: data = 8'b00000000; // 805 1 ***0*** 11'h2c3: data = 8'b00000000; // 806 1 ***0*** 11'h2c4: data = 8'b00000000; // 807 1 ***0*** 11'h2c5: data = 8'b00000000; // 808 1 ***0*** 11'h2c6: data = 8'b00000000; // 809 1 ***0*** 11'h2c7: data = 8'b00000000; // 810 1 ***0*** 11'h2c8: data = 8'b00000000; // 811 1 ***0*** 11'h2c9: data = 8'b00011000; // ** 812 1 ***0*** 11'h2ca: data = 8'b00011000; // ** 813 1 ***0*** 11'h2cb: data = 8'b00011000; // ** 814 1 ***0*** 11'h2cc: data = 8'b00110000; // ** 815 1 ***0*** 11'h2cd: data = 8'b00000000; // 816 1 ***0*** 11'h2ce: data = 8'b00000000; // 817 1 ***0*** 11'h2cf: data = 8'b00000000; // 819 1 ***0*** 11'h2d0: data = 8'b00000000; // 820 1 ***0*** 11'h2d1: data = 8'b00000000; // 821 1 ***0*** 11'h2d2: data = 8'b00000000; // 822 1 ***0*** 11'h2d3: data = 8'b00000000; // 823 1 ***0*** 11'h2d4: data = 8'b00000000; // 824 1 ***0*** 11'h2d5: data = 8'b00000000; // 825 1 ***0*** 11'h2d6: data = 8'b00000000; // 826 1 ***0*** 11'h2d7: data = 8'b01111110; // ****** 827 1 ***0*** 11'h2d8: data = 8'b00000000; // 828 1 ***0*** 11'h2d9: data = 8'b00000000; // 829 1 ***0*** 11'h2da: data = 8'b00000000; // 830 1 ***0*** 11'h2db: data = 8'b00000000; // 831 1 ***0*** 11'h2dc: data = 8'b00000000; // 832 1 ***0*** 11'h2dd: data = 8'b00000000; // 833 1 ***0*** 11'h2de: data = 8'b00000000; // 834 1 ***0*** 11'h2df: data = 8'b00000000; // 836 1 ***0*** 11'h2e0: data = 8'b00000000; // 837 1 ***0*** 11'h2e1: data = 8'b00000000; // 838 1 ***0*** 11'h2e2: data = 8'b00000000; // 839 1 ***0*** 11'h2e3: data = 8'b00000000; // 840 1 ***0*** 11'h2e4: data = 8'b00000000; // 841 1 ***0*** 11'h2e5: data = 8'b00000000; // 842 1 ***0*** 11'h2e6: data = 8'b00000000; // 843 1 ***0*** 11'h2e7: data = 8'b00000000; // 844 1 ***0*** 11'h2e8: data = 8'b00000000; // 845 1 ***0*** 11'h2e9: data = 8'b00000000; // 846 1 ***0*** 11'h2ea: data = 8'b00011000; // ** 847 1 ***0*** 11'h2eb: data = 8'b00011000; // ** 848 1 ***0*** 11'h2ec: data = 8'b00000000; // 849 1 ***0*** 11'h2ed: data = 8'b00000000; // 850 1 ***0*** 11'h2ee: data = 8'b00000000; // 851 1 ***0*** 11'h2ef: data = 8'b00000000; // 853 1 46 11'h2f0: data = 8'b00000000; // 854 1 2 11'h2f1: data = 8'b00000000; // 855 1 2 11'h2f2: data = 8'b00000000; // 856 1 2 11'h2f3: data = 8'b00000000; // 857 1 2 11'h2f4: data = 8'b00000010; // * 858 1 2 11'h2f5: data = 8'b00000110; // ** 859 1 2 11'h2f6: data = 8'b00001100; // ** 860 1 2 11'h2f7: data = 8'b00011000; // ** 861 1 2 11'h2f8: data = 8'b00110000; // ** 862 1 2 11'h2f9: data = 8'b01100000; // ** 863 1 2 11'h2fa: data = 8'b11000000; // ** 864 1 2 11'h2fb: data = 8'b10000000; // * 865 1 2 11'h2fc: data = 8'b00000000; // 866 1 2 11'h2fd: data = 8'b00000000; // 867 1 2 11'h2fe: data = 8'b00000000; // 868 1 2 11'h2ff: data = 8'b00000000; // 870 1 43 11'h300: data = 8'b00000000; // 871 1 1 11'h301: data = 8'b00000000; // 872 1 1 11'h302: data = 8'b01111100; // ***** 873 1 1 11'h303: data = 8'b11000110; // ** ** 874 1 1 11'h304: data = 8'b11000110; // ** ** 875 1 1 11'h305: data = 8'b11001110; // ** *** 876 1 1 11'h306: data = 8'b11011110; // ** **** 877 1 1 11'h307: data = 8'b11110110; // **** ** 878 1 1 11'h308: data = 8'b11100110; // *** ** 879 1 1 11'h309: data = 8'b11000110; // ** ** 880 1 1 11'h30a: data = 8'b11000110; // ** ** 881 1 1 11'h30b: data = 8'b01111100; // ***** 882 1 1 11'h30c: data = 8'b00000000; // 883 1 1 11'h30d: data = 8'b00000000; // 884 1 1 11'h30e: data = 8'b00000000; // 885 1 1 11'h30f: data = 8'b00000000; // 887 1 ***0*** 11'h310: data = 8'b00000000; // 888 1 ***0*** 11'h311: data = 8'b00000000; // 889 1 ***0*** 11'h312: data = 8'b00011000; // 890 1 ***0*** 11'h313: data = 8'b00111000; // 891 1 ***0*** 11'h314: data = 8'b01111000; // ** 892 1 ***0*** 11'h315: data = 8'b00011000; // *** 893 1 ***0*** 11'h316: data = 8'b00011000; // **** 894 1 ***0*** 11'h317: data = 8'b00011000; // ** 895 1 ***0*** 11'h318: data = 8'b00011000; // ** 896 1 ***0*** 11'h319: data = 8'b00011000; // ** 897 1 ***0*** 11'h31a: data = 8'b00011000; // ** 898 1 ***0*** 11'h31b: data = 8'b01111110; // ** 899 1 ***0*** 11'h31c: data = 8'b00000000; // ** 900 1 ***0*** 11'h31d: data = 8'b00000000; // ****** 901 1 ***0*** 11'h31e: data = 8'b00000000; // 902 1 ***0*** 11'h31f: data = 8'b00000000; // 904 1 ***0*** 11'h320: data = 8'b00000000; // 905 1 ***0*** 11'h321: data = 8'b00000000; // 906 1 ***0*** 11'h322: data = 8'b01111100; // ***** 907 1 ***0*** 11'h323: data = 8'b11000110; // ** ** 908 1 ***0*** 11'h324: data = 8'b00000110; // ** 909 1 ***0*** 11'h325: data = 8'b00001100; // ** 910 1 ***0*** 11'h326: data = 8'b00011000; // ** 911 1 ***0*** 11'h327: data = 8'b00110000; // ** 912 1 ***0*** 11'h328: data = 8'b01100000; // ** 913 1 ***0*** 11'h329: data = 8'b11000000; // ** 914 1 ***0*** 11'h32a: data = 8'b11000110; // ** ** 915 1 ***0*** 11'h32b: data = 8'b11111110; // ******* 916 1 ***0*** 11'h32c: data = 8'b00000000; // 917 1 ***0*** 11'h32d: data = 8'b00000000; // 918 1 ***0*** 11'h32e: data = 8'b00000000; // 919 1 ***0*** 11'h32f: data = 8'b00000000; // 921 1 ***0*** 11'h330: data = 8'b00000000; // 922 1 ***0*** 11'h331: data = 8'b00000000; // 923 1 ***0*** 11'h332: data = 8'b01111100; // ***** 924 1 ***0*** 11'h333: data = 8'b11000110; // ** ** 925 1 ***0*** 11'h334: data = 8'b00000110; // ** 926 1 ***0*** 11'h335: data = 8'b00000110; // ** 927 1 ***0*** 11'h336: data = 8'b00111100; // **** 928 1 ***0*** 11'h337: data = 8'b00000110; // ** 929 1 ***0*** 11'h338: data = 8'b00000110; // ** 930 1 ***0*** 11'h339: data = 8'b00000110; // ** 931 1 ***0*** 11'h33a: data = 8'b11000110; // ** ** 932 1 ***0*** 11'h33b: data = 8'b01111100; // ***** 933 1 ***0*** 11'h33c: data = 8'b00000000; // 934 1 ***0*** 11'h33d: data = 8'b00000000; // 935 1 ***0*** 11'h33e: data = 8'b00000000; // 936 1 ***0*** 11'h33f: data = 8'b00000000; // 938 1 ***0*** 11'h340: data = 8'b00000000; // 939 1 ***0*** 11'h341: data = 8'b00000000; // 940 1 ***0*** 11'h342: data = 8'b00001100; // ** 941 1 ***0*** 11'h343: data = 8'b00011100; // *** 942 1 ***0*** 11'h344: data = 8'b00111100; // **** 943 1 ***0*** 11'h345: data = 8'b01101100; // ** ** 944 1 ***0*** 11'h346: data = 8'b11001100; // ** ** 945 1 ***0*** 11'h347: data = 8'b11111110; // ******* 946 1 ***0*** 11'h348: data = 8'b00001100; // ** 947 1 ***0*** 11'h349: data = 8'b00001100; // ** 948 1 ***0*** 11'h34a: data = 8'b00001100; // ** 949 1 ***0*** 11'h34b: data = 8'b00011110; // **** 950 1 ***0*** 11'h34c: data = 8'b00000000; // 951 1 ***0*** 11'h34d: data = 8'b00000000; // 952 1 ***0*** 11'h34e: data = 8'b00000000; // 953 1 ***0*** 11'h34f: data = 8'b00000000; // 955 1 43 11'h350: data = 8'b00000000; // 956 1 1 11'h351: data = 8'b00000000; // 957 1 1 11'h352: data = 8'b11111110; // ******* 958 1 1 11'h353: data = 8'b11000000; // ** 959 1 1 11'h354: data = 8'b11000000; // ** 960 1 1 11'h355: data = 8'b11000000; // ** 961 1 1 11'h356: data = 8'b11111100; // ****** 962 1 1 11'h357: data = 8'b00000110; // ** 963 1 1 11'h358: data = 8'b00000110; // ** 964 1 1 11'h359: data = 8'b00000110; // ** 965 1 1 11'h35a: data = 8'b11000110; // ** ** 966 1 1 11'h35b: data = 8'b01111100; // ***** 967 1 1 11'h35c: data = 8'b00000000; // 968 1 1 11'h35d: data = 8'b00000000; // 969 1 1 11'h35e: data = 8'b00000000; // 970 1 1 11'h35f: data = 8'b00000000; // 972 1 ***0*** 11'h360: data = 8'b00000000; // 973 1 ***0*** 11'h361: data = 8'b00000000; // 974 1 ***0*** 11'h362: data = 8'b00111000; // *** 975 1 ***0*** 11'h363: data = 8'b01100000; // ** 976 1 ***0*** 11'h364: data = 8'b11000000; // ** 977 1 ***0*** 11'h365: data = 8'b11000000; // ** 978 1 ***0*** 11'h366: data = 8'b11111100; // ****** 979 1 ***0*** 11'h367: data = 8'b11000110; // ** ** 980 1 ***0*** 11'h368: data = 8'b11000110; // ** ** 981 1 ***0*** 11'h369: data = 8'b11000110; // ** ** 982 1 ***0*** 11'h36a: data = 8'b11000110; // ** ** 983 1 ***0*** 11'h36b: data = 8'b01111100; // ***** 984 1 ***0*** 11'h36c: data = 8'b00000000; // 985 1 ***0*** 11'h36d: data = 8'b00000000; // 986 1 ***0*** 11'h36e: data = 8'b00000000; // 987 1 ***0*** 11'h36f: data = 8'b00000000; // 989 1 ***0*** 11'h370: data = 8'b00000000; // 990 1 ***0*** 11'h371: data = 8'b00000000; // 991 1 ***0*** 11'h372: data = 8'b11111110; // ******* 992 1 ***0*** 11'h373: data = 8'b11000110; // ** ** 993 1 ***0*** 11'h374: data = 8'b00000110; // ** 994 1 ***0*** 11'h375: data = 8'b00000110; // ** 995 1 ***0*** 11'h376: data = 8'b00001100; // ** 996 1 ***0*** 11'h377: data = 8'b00011000; // ** 997 1 ***0*** 11'h378: data = 8'b00110000; // ** 998 1 ***0*** 11'h379: data = 8'b00110000; // ** 999 1 ***0*** 11'h37a: data = 8'b00110000; // ** 1000 1 ***0*** 11'h37b: data = 8'b00110000; // ** 1001 1 ***0*** 11'h37c: data = 8'b00000000; // 1002 1 ***0*** 11'h37d: data = 8'b00000000; // 1003 1 ***0*** 11'h37e: data = 8'b00000000; // 1004 1 ***0*** 11'h37f: data = 8'b00000000; // 1006 1 ***0*** 11'h380: data = 8'b00000000; // 1007 1 ***0*** 11'h381: data = 8'b00000000; // 1008 1 ***0*** 11'h382: data = 8'b01111100; // ***** 1009 1 ***0*** 11'h383: data = 8'b11000110; // ** ** 1010 1 ***0*** 11'h384: data = 8'b11000110; // ** ** 1011 1 ***0*** 11'h385: data = 8'b11000110; // ** ** 1012 1 ***0*** 11'h386: data = 8'b01111100; // ***** 1013 1 ***0*** 11'h387: data = 8'b11000110; // ** ** 1014 1 ***0*** 11'h388: data = 8'b11000110; // ** ** 1015 1 ***0*** 11'h389: data = 8'b11000110; // ** ** 1016 1 ***0*** 11'h38a: data = 8'b11000110; // ** ** 1017 1 ***0*** 11'h38b: data = 8'b01111100; // ***** 1018 1 ***0*** 11'h38c: data = 8'b00000000; // 1019 1 ***0*** 11'h38d: data = 8'b00000000; // 1020 1 ***0*** 11'h38e: data = 8'b00000000; // 1021 1 ***0*** 11'h38f: data = 8'b00000000; // 1023 1 ***0*** 11'h390: data = 8'b00000000; // 1024 1 ***0*** 11'h391: data = 8'b00000000; // 1025 1 ***0*** 11'h392: data = 8'b01111100; // ***** 1026 1 ***0*** 11'h393: data = 8'b11000110; // ** ** 1027 1 ***0*** 11'h394: data = 8'b11000110; // ** ** 1028 1 ***0*** 11'h395: data = 8'b11000110; // ** ** 1029 1 ***0*** 11'h396: data = 8'b01111110; // ****** 1030 1 ***0*** 11'h397: data = 8'b00000110; // ** 1031 1 ***0*** 11'h398: data = 8'b00000110; // ** 1032 1 ***0*** 11'h399: data = 8'b00000110; // ** 1033 1 ***0*** 11'h39a: data = 8'b00001100; // ** 1034 1 ***0*** 11'h39b: data = 8'b01111000; // **** 1035 1 ***0*** 11'h39c: data = 8'b00000000; // 1036 1 ***0*** 11'h39d: data = 8'b00000000; // 1037 1 ***0*** 11'h39e: data = 8'b00000000; // 1038 1 ***0*** 11'h39f: data = 8'b00000000; // 1040 1 43 11'h3a0: data = 8'b00000000; // 1041 1 1 11'h3a1: data = 8'b00000000; // 1042 1 1 11'h3a2: data = 8'b00000000; // 1043 1 1 11'h3a3: data = 8'b00000000; // 1044 1 1 11'h3a4: data = 8'b00011000; // ** 1045 1 1 11'h3a5: data = 8'b00011000; // ** 1046 1 1 11'h3a6: data = 8'b00000000; // 1047 1 1 11'h3a7: data = 8'b00000000; // 1048 1 1 11'h3a8: data = 8'b00000000; // 1049 1 1 11'h3a9: data = 8'b00011000; // ** 1050 1 1 11'h3aa: data = 8'b00011000; // ** 1051 1 1 11'h3ab: data = 8'b00000000; // 1052 1 1 11'h3ac: data = 8'b00000000; // 1053 1 1 11'h3ad: data = 8'b00000000; // 1054 1 1 11'h3ae: data = 8'b00000000; // 1055 1 1 11'h3af: data = 8'b00000000; // 1057 1 ***0*** 11'h3b0: data = 8'b00000000; // 1058 1 ***0*** 11'h3b1: data = 8'b00000000; // 1059 1 ***0*** 11'h3b2: data = 8'b00000000; // 1060 1 ***0*** 11'h3b3: data = 8'b00000000; // 1061 1 ***0*** 11'h3b4: data = 8'b00011000; // ** 1062 1 ***0*** 11'h3b5: data = 8'b00011000; // ** 1063 1 ***0*** 11'h3b6: data = 8'b00000000; // 1064 1 ***0*** 11'h3b7: data = 8'b00000000; // 1065 1 ***0*** 11'h3b8: data = 8'b00000000; // 1066 1 ***0*** 11'h3b9: data = 8'b00011000; // ** 1067 1 ***0*** 11'h3ba: data = 8'b00011000; // ** 1068 1 ***0*** 11'h3bb: data = 8'b00110000; // ** 1069 1 ***0*** 11'h3bc: data = 8'b00000000; // 1070 1 ***0*** 11'h3bd: data = 8'b00000000; // 1071 1 ***0*** 11'h3be: data = 8'b00000000; // 1072 1 ***0*** 11'h3bf: data = 8'b00000000; // 1074 1 ***0*** 11'h3c0: data = 8'b00000000; // 1075 1 ***0*** 11'h3c1: data = 8'b00000000; // 1076 1 ***0*** 11'h3c2: data = 8'b00000000; // 1077 1 ***0*** 11'h3c3: data = 8'b00000110; // ** 1078 1 ***0*** 11'h3c4: data = 8'b00001100; // ** 1079 1 ***0*** 11'h3c5: data = 8'b00011000; // ** 1080 1 ***0*** 11'h3c6: data = 8'b00110000; // ** 1081 1 ***0*** 11'h3c7: data = 8'b01100000; // ** 1082 1 ***0*** 11'h3c8: data = 8'b00110000; // ** 1083 1 ***0*** 11'h3c9: data = 8'b00011000; // ** 1084 1 ***0*** 11'h3ca: data = 8'b00001100; // ** 1085 1 ***0*** 11'h3cb: data = 8'b00000110; // ** 1086 1 ***0*** 11'h3cc: data = 8'b00000000; // 1087 1 ***0*** 11'h3cd: data = 8'b00000000; // 1088 1 ***0*** 11'h3ce: data = 8'b00000000; // 1089 1 ***0*** 11'h3cf: data = 8'b00000000; // 1091 1 ***0*** 11'h3d0: data = 8'b00000000; // 1092 1 ***0*** 11'h3d1: data = 8'b00000000; // 1093 1 ***0*** 11'h3d2: data = 8'b00000000; // 1094 1 ***0*** 11'h3d3: data = 8'b00000000; // 1095 1 ***0*** 11'h3d4: data = 8'b00000000; // 1096 1 ***0*** 11'h3d5: data = 8'b01111110; // ****** 1097 1 ***0*** 11'h3d6: data = 8'b00000000; // 1098 1 ***0*** 11'h3d7: data = 8'b00000000; // 1099 1 ***0*** 11'h3d8: data = 8'b01111110; // ****** 1100 1 ***0*** 11'h3d9: data = 8'b00000000; // 1101 1 ***0*** 11'h3da: data = 8'b00000000; // 1102 1 ***0*** 11'h3db: data = 8'b00000000; // 1103 1 ***0*** 11'h3dc: data = 8'b00000000; // 1104 1 ***0*** 11'h3dd: data = 8'b00000000; // 1105 1 ***0*** 11'h3de: data = 8'b00000000; // 1106 1 ***0*** 11'h3df: data = 8'b00000000; // 1108 1 ***0*** 11'h3e0: data = 8'b00000000; // 1109 1 ***0*** 11'h3e1: data = 8'b00000000; // 1110 1 ***0*** 11'h3e2: data = 8'b00000000; // 1111 1 ***0*** 11'h3e3: data = 8'b01100000; // ** 1112 1 ***0*** 11'h3e4: data = 8'b00110000; // ** 1113 1 ***0*** 11'h3e5: data = 8'b00011000; // ** 1114 1 ***0*** 11'h3e6: data = 8'b00001100; // ** 1115 1 ***0*** 11'h3e7: data = 8'b00000110; // ** 1116 1 ***0*** 11'h3e8: data = 8'b00001100; // ** 1117 1 ***0*** 11'h3e9: data = 8'b00011000; // ** 1118 1 ***0*** 11'h3ea: data = 8'b00110000; // ** 1119 1 ***0*** 11'h3eb: data = 8'b01100000; // ** 1120 1 ***0*** 11'h3ec: data = 8'b00000000; // 1121 1 ***0*** 11'h3ed: data = 8'b00000000; // 1122 1 ***0*** 11'h3ee: data = 8'b00000000; // 1123 1 ***0*** 11'h3ef: data = 8'b00000000; // 1125 1 43 11'h3f0: data = 8'b00000000; // 1126 1 1 11'h3f1: data = 8'b00000000; // 1127 1 1 11'h3f2: data = 8'b01111100; // ***** 1128 1 1 11'h3f3: data = 8'b11000110; // ** ** 1129 1 1 11'h3f4: data = 8'b11000110; // ** ** 1130 1 1 11'h3f5: data = 8'b00001100; // ** 1131 1 1 11'h3f6: data = 8'b00011000; // ** 1132 1 1 11'h3f7: data = 8'b00011000; // ** 1133 1 1 11'h3f8: data = 8'b00011000; // ** 1134 1 1 11'h3f9: data = 8'b00000000; // 1135 1 1 11'h3fa: data = 8'b00011000; // ** 1136 1 1 11'h3fb: data = 8'b00011000; // ** 1137 1 1 11'h3fc: data = 8'b00000000; // 1138 1 1 11'h3fd: data = 8'b00000000; // 1139 1 1 11'h3fe: data = 8'b00000000; // 1140 1 1 11'h3ff: data = 8'b00000000; // 1142 1 ***0*** 11'h400: data = 8'b00000000; // 1143 1 ***0*** 11'h401: data = 8'b00000000; // 1144 1 ***0*** 11'h402: data = 8'b01111100; // ***** 1145 1 ***0*** 11'h403: data = 8'b11000110; // ** ** 1146 1 ***0*** 11'h404: data = 8'b11000110; // ** ** 1147 1 ***0*** 11'h405: data = 8'b11000110; // ** ** 1148 1 ***0*** 11'h406: data = 8'b11011110; // ** **** 1149 1 ***0*** 11'h407: data = 8'b11011110; // ** **** 1150 1 ***0*** 11'h408: data = 8'b11011110; // ** **** 1151 1 ***0*** 11'h409: data = 8'b11011100; // ** *** 1152 1 ***0*** 11'h40a: data = 8'b11000000; // ** 1153 1 ***0*** 11'h40b: data = 8'b01111100; // ***** 1154 1 ***0*** 11'h40c: data = 8'b00000000; // 1155 1 ***0*** 11'h40d: data = 8'b00000000; // 1156 1 ***0*** 11'h40e: data = 8'b00000000; // 1157 1 ***0*** 11'h40f: data = 8'b00000000; // 1159 1 ***0*** 11'h410: data = 8'b00000000; // 1160 1 ***0*** 11'h411: data = 8'b00000000; // 1161 1 ***0*** 11'h412: data = 8'b00010000; // * 1162 1 ***0*** 11'h413: data = 8'b00111000; // *** 1163 1 ***0*** 11'h414: data = 8'b01101100; // ** ** 1164 1 ***0*** 11'h415: data = 8'b11000110; // ** ** 1165 1 ***0*** 11'h416: data = 8'b11000110; // ** ** 1166 1 ***0*** 11'h417: data = 8'b11111110; // ******* 1167 1 ***0*** 11'h418: data = 8'b11000110; // ** ** 1168 1 ***0*** 11'h419: data = 8'b11000110; // ** ** 1169 1 ***0*** 11'h41a: data = 8'b11000110; // ** ** 1170 1 ***0*** 11'h41b: data = 8'b11000110; // ** ** 1171 1 ***0*** 11'h41c: data = 8'b00000000; // 1172 1 ***0*** 11'h41d: data = 8'b00000000; // 1173 1 ***0*** 11'h41e: data = 8'b00000000; // 1174 1 ***0*** 11'h41f: data = 8'b00000000; // 1176 1 ***0*** 11'h420: data = 8'b00000000; // 1177 1 ***0*** 11'h421: data = 8'b00000000; // 1178 1 ***0*** 11'h422: data = 8'b11111100; // ****** 1179 1 ***0*** 11'h423: data = 8'b01100110; // ** ** 1180 1 ***0*** 11'h424: data = 8'b01100110; // ** ** 1181 1 ***0*** 11'h425: data = 8'b01100110; // ** ** 1182 1 ***0*** 11'h426: data = 8'b01111100; // ***** 1183 1 ***0*** 11'h427: data = 8'b01100110; // ** ** 1184 1 ***0*** 11'h428: data = 8'b01100110; // ** ** 1185 1 ***0*** 11'h429: data = 8'b01100110; // ** ** 1186 1 ***0*** 11'h42a: data = 8'b01100110; // ** ** 1187 1 ***0*** 11'h42b: data = 8'b11111100; // ****** 1188 1 ***0*** 11'h42c: data = 8'b00000000; // 1189 1 ***0*** 11'h42d: data = 8'b00000000; // 1190 1 ***0*** 11'h42e: data = 8'b00000000; // 1191 1 ***0*** 11'h42f: data = 8'b00000000; // 1193 1 43 11'h430: data = 8'b00000000; // 1194 1 1 11'h431: data = 8'b00000000; // 1195 1 1 11'h432: data = 8'b00111100; // **** 1196 1 1 11'h433: data = 8'b01100110; // ** ** 1197 1 1 11'h434: data = 8'b11000010; // ** * 1198 1 1 11'h435: data = 8'b11000000; // ** 1199 1 1 11'h436: data = 8'b11000000; // ** 1200 1 1 11'h437: data = 8'b11000000; // ** 1201 1 1 11'h438: data = 8'b11000000; // ** 1202 1 1 11'h439: data = 8'b11000010; // ** * 1203 1 1 11'h43a: data = 8'b01100110; // ** ** 1204 1 1 11'h43b: data = 8'b00111100; // **** 1205 1 1 11'h43c: data = 8'b00000000; // 1206 1 1 11'h43d: data = 8'b00000000; // 1207 1 1 11'h43e: data = 8'b00000000; // 1208 1 1 11'h43f: data = 8'b00000000; // 1210 1 ***0*** 11'h440: data = 8'b00000000; // 1211 1 ***0*** 11'h441: data = 8'b00000000; // 1212 1 ***0*** 11'h442: data = 8'b11111000; // ***** 1213 1 ***0*** 11'h443: data = 8'b01101100; // ** ** 1214 1 ***0*** 11'h444: data = 8'b01100110; // ** ** 1215 1 ***0*** 11'h445: data = 8'b01100110; // ** ** 1216 1 ***0*** 11'h446: data = 8'b01100110; // ** ** 1217 1 ***0*** 11'h447: data = 8'b01100110; // ** ** 1218 1 ***0*** 11'h448: data = 8'b01100110; // ** ** 1219 1 ***0*** 11'h449: data = 8'b01100110; // ** ** 1220 1 ***0*** 11'h44a: data = 8'b01101100; // ** ** 1221 1 ***0*** 11'h44b: data = 8'b11111000; // ***** 1222 1 ***0*** 11'h44c: data = 8'b00000000; // 1223 1 ***0*** 11'h44d: data = 8'b00000000; // 1224 1 ***0*** 11'h44e: data = 8'b00000000; // 1225 1 ***0*** 11'h44f: data = 8'b00000000; // 1227 1 ***0*** 11'h450: data = 8'b00000000; // 1228 1 ***0*** 11'h451: data = 8'b00000000; // 1229 1 ***0*** 11'h452: data = 8'b11111110; // ******* 1230 1 ***0*** 11'h453: data = 8'b01100110; // ** ** 1231 1 ***0*** 11'h454: data = 8'b01100010; // ** * 1232 1 ***0*** 11'h455: data = 8'b01101000; // ** * 1233 1 ***0*** 11'h456: data = 8'b01111000; // **** 1234 1 ***0*** 11'h457: data = 8'b01101000; // ** * 1235 1 ***0*** 11'h458: data = 8'b01100000; // ** 1236 1 ***0*** 11'h459: data = 8'b01100010; // ** * 1237 1 ***0*** 11'h45a: data = 8'b01100110; // ** ** 1238 1 ***0*** 11'h45b: data = 8'b11111110; // ******* 1239 1 ***0*** 11'h45c: data = 8'b00000000; // 1240 1 ***0*** 11'h45d: data = 8'b00000000; // 1241 1 ***0*** 11'h45e: data = 8'b00000000; // 1242 1 ***0*** 11'h45f: data = 8'b00000000; // 1244 1 ***0*** 11'h460: data = 8'b00000000; // 1245 1 ***0*** 11'h461: data = 8'b00000000; // 1246 1 ***0*** 11'h462: data = 8'b11111110; // ******* 1247 1 ***0*** 11'h463: data = 8'b01100110; // ** ** 1248 1 ***0*** 11'h464: data = 8'b01100010; // ** * 1249 1 ***0*** 11'h465: data = 8'b01101000; // ** * 1250 1 ***0*** 11'h466: data = 8'b01111000; // **** 1251 1 ***0*** 11'h467: data = 8'b01101000; // ** * 1252 1 ***0*** 11'h468: data = 8'b01100000; // ** 1253 1 ***0*** 11'h469: data = 8'b01100000; // ** 1254 1 ***0*** 11'h46a: data = 8'b01100000; // ** 1255 1 ***0*** 11'h46b: data = 8'b11110000; // **** 1256 1 ***0*** 11'h46c: data = 8'b00000000; // 1257 1 ***0*** 11'h46d: data = 8'b00000000; // 1258 1 ***0*** 11'h46e: data = 8'b00000000; // 1259 1 ***0*** 11'h46f: data = 8'b00000000; // 1261 1 ***0*** 11'h470: data = 8'b00000000; // 1262 1 ***0*** 11'h471: data = 8'b00000000; // 1263 1 ***0*** 11'h472: data = 8'b00111100; // **** 1264 1 ***0*** 11'h473: data = 8'b01100110; // ** ** 1265 1 ***0*** 11'h474: data = 8'b11000010; // ** * 1266 1 ***0*** 11'h475: data = 8'b11000000; // ** 1267 1 ***0*** 11'h476: data = 8'b11000000; // ** 1268 1 ***0*** 11'h477: data = 8'b11011110; // ** **** 1269 1 ***0*** 11'h478: data = 8'b11000110; // ** ** 1270 1 ***0*** 11'h479: data = 8'b11000110; // ** ** 1271 1 ***0*** 11'h47a: data = 8'b01100110; // ** ** 1272 1 ***0*** 11'h47b: data = 8'b00111010; // *** * 1273 1 ***0*** 11'h47c: data = 8'b00000000; // 1274 1 ***0*** 11'h47d: data = 8'b00000000; // 1275 1 ***0*** 11'h47e: data = 8'b00000000; // 1276 1 ***0*** 11'h47f: data = 8'b00000000; // 1278 1 43 11'h480: data = 8'b00000000; // 1279 1 1 11'h481: data = 8'b00000000; // 1280 1 1 11'h482: data = 8'b11000110; // ** ** 1281 1 1 11'h483: data = 8'b11000110; // ** ** 1282 1 1 11'h484: data = 8'b11000110; // ** ** 1283 1 1 11'h485: data = 8'b11000110; // ** ** 1284 1 1 11'h486: data = 8'b11111110; // ******* 1285 1 1 11'h487: data = 8'b11000110; // ** ** 1286 1 1 11'h488: data = 8'b11000110; // ** ** 1287 1 1 11'h489: data = 8'b11000110; // ** ** 1288 1 1 11'h48a: data = 8'b11000110; // ** ** 1289 1 1 11'h48b: data = 8'b11000110; // ** ** 1290 1 1 11'h48c: data = 8'b00000000; // 1291 1 1 11'h48d: data = 8'b00000000; // 1292 1 1 11'h48e: data = 8'b00000000; // 1293 1 1 11'h48f: data = 8'b00000000; // 1295 1 ***0*** 11'h490: data = 8'b00000000; // 1296 1 ***0*** 11'h491: data = 8'b00000000; // 1297 1 ***0*** 11'h492: data = 8'b00111100; // **** 1298 1 ***0*** 11'h493: data = 8'b00011000; // ** 1299 1 ***0*** 11'h494: data = 8'b00011000; // ** 1300 1 ***0*** 11'h495: data = 8'b00011000; // ** 1301 1 ***0*** 11'h496: data = 8'b00011000; // ** 1302 1 ***0*** 11'h497: data = 8'b00011000; // ** 1303 1 ***0*** 11'h498: data = 8'b00011000; // ** 1304 1 ***0*** 11'h499: data = 8'b00011000; // ** 1305 1 ***0*** 11'h49a: data = 8'b00011000; // ** 1306 1 ***0*** 11'h49b: data = 8'b00111100; // **** 1307 1 ***0*** 11'h49c: data = 8'b00000000; // 1308 1 ***0*** 11'h49d: data = 8'b00000000; // 1309 1 ***0*** 11'h49e: data = 8'b00000000; // 1310 1 ***0*** 11'h49f: data = 8'b00000000; // 1312 1 ***0*** 11'h4a0: data = 8'b00000000; // 1313 1 ***0*** 11'h4a1: data = 8'b00000000; // 1314 1 ***0*** 11'h4a2: data = 8'b00011110; // **** 1315 1 ***0*** 11'h4a3: data = 8'b00001100; // ** 1316 1 ***0*** 11'h4a4: data = 8'b00001100; // ** 1317 1 ***0*** 11'h4a5: data = 8'b00001100; // ** 1318 1 ***0*** 11'h4a6: data = 8'b00001100; // ** 1319 1 ***0*** 11'h4a7: data = 8'b00001100; // ** 1320 1 ***0*** 11'h4a8: data = 8'b11001100; // ** ** 1321 1 ***0*** 11'h4a9: data = 8'b11001100; // ** ** 1322 1 ***0*** 11'h4aa: data = 8'b11001100; // ** ** 1323 1 ***0*** 11'h4ab: data = 8'b01111000; // **** 1324 1 ***0*** 11'h4ac: data = 8'b00000000; // 1325 1 ***0*** 11'h4ad: data = 8'b00000000; // 1326 1 ***0*** 11'h4ae: data = 8'b00000000; // 1327 1 ***0*** 11'h4af: data = 8'b00000000; // 1329 1 ***0*** 11'h4b0: data = 8'b00000000; // 1330 1 ***0*** 11'h4b1: data = 8'b00000000; // 1331 1 ***0*** 11'h4b2: data = 8'b11100110; // *** ** 1332 1 ***0*** 11'h4b3: data = 8'b01100110; // ** ** 1333 1 ***0*** 11'h4b4: data = 8'b01100110; // ** ** 1334 1 ***0*** 11'h4b5: data = 8'b01101100; // ** ** 1335 1 ***0*** 11'h4b6: data = 8'b01111000; // **** 1336 1 ***0*** 11'h4b7: data = 8'b01111000; // **** 1337 1 ***0*** 11'h4b8: data = 8'b01101100; // ** ** 1338 1 ***0*** 11'h4b9: data = 8'b01100110; // ** ** 1339 1 ***0*** 11'h4ba: data = 8'b01100110; // ** ** 1340 1 ***0*** 11'h4bb: data = 8'b11100110; // *** ** 1341 1 ***0*** 11'h4bc: data = 8'b00000000; // 1342 1 ***0*** 11'h4bd: data = 8'b00000000; // 1343 1 ***0*** 11'h4be: data = 8'b00000000; // 1344 1 ***0*** 11'h4bf: data = 8'b00000000; // 1346 1 ***0*** 11'h4c0: data = 8'b00000000; // 1347 1 ***0*** 11'h4c1: data = 8'b00000000; // 1348 1 ***0*** 11'h4c2: data = 8'b11110000; // **** 1349 1 ***0*** 11'h4c3: data = 8'b01100000; // ** 1350 1 ***0*** 11'h4c4: data = 8'b01100000; // ** 1351 1 ***0*** 11'h4c5: data = 8'b01100000; // ** 1352 1 ***0*** 11'h4c6: data = 8'b01100000; // ** 1353 1 ***0*** 11'h4c7: data = 8'b01100000; // ** 1354 1 ***0*** 11'h4c8: data = 8'b01100000; // ** 1355 1 ***0*** 11'h4c9: data = 8'b01100010; // ** * 1356 1 ***0*** 11'h4ca: data = 8'b01100110; // ** ** 1357 1 ***0*** 11'h4cb: data = 8'b11111110; // ******* 1358 1 ***0*** 11'h4cc: data = 8'b00000000; // 1359 1 ***0*** 11'h4cd: data = 8'b00000000; // 1360 1 ***0*** 11'h4ce: data = 8'b00000000; // 1361 1 ***0*** 11'h4cf: data = 8'b00000000; // 1363 1 43 11'h4d0: data = 8'b00000000; // 1364 1 1 11'h4d1: data = 8'b00000000; // 1365 1 1 11'h4d2: data = 8'b11000011; // ** ** 1366 1 1 11'h4d3: data = 8'b11100111; // *** *** 1367 1 1 11'h4d4: data = 8'b11111111; // ******** 1368 1 1 11'h4d5: data = 8'b11111111; // ******** 1369 1 1 11'h4d6: data = 8'b11011011; // ** ** ** 1370 1 1 11'h4d7: data = 8'b11000011; // ** ** 1371 1 1 11'h4d8: data = 8'b11000011; // ** ** 1372 1 1 11'h4d9: data = 8'b11000011; // ** ** 1373 1 1 11'h4da: data = 8'b11000011; // ** ** 1374 1 1 11'h4db: data = 8'b11000011; // ** ** 1375 1 1 11'h4dc: data = 8'b00000000; // 1376 1 1 11'h4dd: data = 8'b00000000; // 1377 1 1 11'h4de: data = 8'b00000000; // 1378 1 1 11'h4df: data = 8'b00000000; // 1380 1 ***0*** 11'h4e0: data = 8'b00000000; // 1381 1 ***0*** 11'h4e1: data = 8'b00000000; // 1382 1 ***0*** 11'h4e2: data = 8'b11000110; // ** ** 1383 1 ***0*** 11'h4e3: data = 8'b11100110; // *** ** 1384 1 ***0*** 11'h4e4: data = 8'b11110110; // **** ** 1385 1 ***0*** 11'h4e5: data = 8'b11111110; // ******* 1386 1 ***0*** 11'h4e6: data = 8'b11011110; // ** **** 1387 1 ***0*** 11'h4e7: data = 8'b11001110; // ** *** 1388 1 ***0*** 11'h4e8: data = 8'b11000110; // ** ** 1389 1 ***0*** 11'h4e9: data = 8'b11000110; // ** ** 1390 1 ***0*** 11'h4ea: data = 8'b11000110; // ** ** 1391 1 ***0*** 11'h4eb: data = 8'b11000110; // ** ** 1392 1 ***0*** 11'h4ec: data = 8'b00000000; // 1393 1 ***0*** 11'h4ed: data = 8'b00000000; // 1394 1 ***0*** 11'h4ee: data = 8'b00000000; // 1395 1 ***0*** 11'h4ef: data = 8'b00000000; // 1397 1 ***0*** 11'h4f0: data = 8'b00000000; // 1398 1 ***0*** 11'h4f1: data = 8'b00000000; // 1399 1 ***0*** 11'h4f2: data = 8'b01111100; // ***** 1400 1 ***0*** 11'h4f3: data = 8'b11000110; // ** ** 1401 1 ***0*** 11'h4f4: data = 8'b11000110; // ** ** 1402 1 ***0*** 11'h4f5: data = 8'b11000110; // ** ** 1403 1 ***0*** 11'h4f6: data = 8'b11000110; // ** ** 1404 1 ***0*** 11'h4f7: data = 8'b11000110; // ** ** 1405 1 ***0*** 11'h4f8: data = 8'b11000110; // ** ** 1406 1 ***0*** 11'h4f9: data = 8'b11000110; // ** ** 1407 1 ***0*** 11'h4fa: data = 8'b11000110; // ** ** 1408 1 ***0*** 11'h4fb: data = 8'b01111100; // ***** 1409 1 ***0*** 11'h4fc: data = 8'b00000000; // 1410 1 ***0*** 11'h4fd: data = 8'b00000000; // 1411 1 ***0*** 11'h4fe: data = 8'b00000000; // 1412 1 ***0*** 11'h4ff: data = 8'b00000000; // 1414 1 ***0*** 11'h500: data = 8'b00000000; // 1415 1 ***0*** 11'h501: data = 8'b00000000; // 1416 1 ***0*** 11'h502: data = 8'b11111100; // ****** 1417 1 ***0*** 11'h503: data = 8'b01100110; // ** ** 1418 1 ***0*** 11'h504: data = 8'b01100110; // ** ** 1419 1 ***0*** 11'h505: data = 8'b01100110; // ** ** 1420 1 ***0*** 11'h506: data = 8'b01111100; // ***** 1421 1 ***0*** 11'h507: data = 8'b01100000; // ** 1422 1 ***0*** 11'h508: data = 8'b01100000; // ** 1423 1 ***0*** 11'h509: data = 8'b01100000; // ** 1424 1 ***0*** 11'h50a: data = 8'b01100000; // ** 1425 1 ***0*** 11'h50b: data = 8'b11110000; // **** 1426 1 ***0*** 11'h50c: data = 8'b00000000; // 1427 1 ***0*** 11'h50d: data = 8'b00000000; // 1428 1 ***0*** 11'h50e: data = 8'b00000000; // 1429 1 ***0*** 11'h50f: data = 8'b00000000; // 1431 1 ***0*** 11'h510: data = 8'b00000000; // 1432 1 ***0*** 11'h511: data = 8'b00000000; // 1433 1 ***0*** 11'h512: data = 8'b01111100; // ***** 1434 1 ***0*** 11'h513: data = 8'b11000110; // ** ** 1435 1 ***0*** 11'h514: data = 8'b11000110; // ** ** 1436 1 ***0*** 11'h515: data = 8'b11000110; // ** ** 1437 1 ***0*** 11'h516: data = 8'b11000110; // ** ** 1438 1 ***0*** 11'h517: data = 8'b11000110; // ** ** 1439 1 ***0*** 11'h518: data = 8'b11000110; // ** ** 1440 1 ***0*** 11'h519: data = 8'b11010110; // ** * ** 1441 1 ***0*** 11'h51a: data = 8'b11011110; // ** **** 1442 1 ***0*** 11'h51b: data = 8'b01111100; // ***** 1443 1 ***0*** 11'h51c: data = 8'b00001100; // ** 1444 1 ***0*** 11'h51d: data = 8'b00001110; // *** 1445 1 ***0*** 11'h51e: data = 8'b00000000; // 1446 1 ***0*** 11'h51f: data = 8'b00000000; // 1448 1 ***0*** 11'h520: data = 8'b00000000; // 1449 1 ***0*** 11'h521: data = 8'b00000000; // 1450 1 ***0*** 11'h522: data = 8'b11111100; // ****** 1451 1 ***0*** 11'h523: data = 8'b01100110; // ** ** 1452 1 ***0*** 11'h524: data = 8'b01100110; // ** ** 1453 1 ***0*** 11'h525: data = 8'b01100110; // ** ** 1454 1 ***0*** 11'h526: data = 8'b01111100; // ***** 1455 1 ***0*** 11'h527: data = 8'b01101100; // ** ** 1456 1 ***0*** 11'h528: data = 8'b01100110; // ** ** 1457 1 ***0*** 11'h529: data = 8'b01100110; // ** ** 1458 1 ***0*** 11'h52a: data = 8'b01100110; // ** ** 1459 1 ***0*** 11'h52b: data = 8'b11100110; // *** ** 1460 1 ***0*** 11'h52c: data = 8'b00000000; // 1461 1 ***0*** 11'h52d: data = 8'b00000000; // 1462 1 ***0*** 11'h52e: data = 8'b00000000; // 1463 1 ***0*** 11'h52f: data = 8'b00000000; // 1465 1 43 11'h530: data = 8'b00000000; // 1466 1 1 11'h531: data = 8'b00000000; // 1467 1 1 11'h532: data = 8'b01111100; // ***** 1468 1 1 11'h533: data = 8'b11000110; // ** ** 1469 1 1 11'h534: data = 8'b11000110; // ** ** 1470 1 1 11'h535: data = 8'b01100000; // ** 1471 1 1 11'h536: data = 8'b00111000; // *** 1472 1 1 11'h537: data = 8'b00001100; // ** 1473 1 1 11'h538: data = 8'b00000110; // ** 1474 1 1 11'h539: data = 8'b11000110; // ** ** 1475 1 1 11'h53a: data = 8'b11000110; // ** ** 1476 1 1 11'h53b: data = 8'b01111100; // ***** 1477 1 1 11'h53c: data = 8'b00000000; // 1478 1 1 11'h53d: data = 8'b00000000; // 1479 1 1 11'h53e: data = 8'b00000000; // 1480 1 1 11'h53f: data = 8'b00000000; // 1482 1 ***0*** 11'h540: data = 8'b00000000; // 1483 1 ***0*** 11'h541: data = 8'b00000000; // 1484 1 ***0*** 11'h542: data = 8'b11111111; // ******** 1485 1 ***0*** 11'h543: data = 8'b11011011; // ** ** ** 1486 1 ***0*** 11'h544: data = 8'b10011001; // * ** * 1487 1 ***0*** 11'h545: data = 8'b00011000; // ** 1488 1 ***0*** 11'h546: data = 8'b00011000; // ** 1489 1 ***0*** 11'h547: data = 8'b00011000; // ** 1490 1 ***0*** 11'h548: data = 8'b00011000; // ** 1491 1 ***0*** 11'h549: data = 8'b00011000; // ** 1492 1 ***0*** 11'h54a: data = 8'b00011000; // ** 1493 1 ***0*** 11'h54b: data = 8'b00111100; // **** 1494 1 ***0*** 11'h54c: data = 8'b00000000; // 1495 1 ***0*** 11'h54d: data = 8'b00000000; // 1496 1 ***0*** 11'h54e: data = 8'b00000000; // 1497 1 ***0*** 11'h54f: data = 8'b00000000; // 1499 1 ***0*** 11'h550: data = 8'b00000000; // 1500 1 ***0*** 11'h551: data = 8'b00000000; // 1501 1 ***0*** 11'h552: data = 8'b11000110; // ** ** 1502 1 ***0*** 11'h553: data = 8'b11000110; // ** ** 1503 1 ***0*** 11'h554: data = 8'b11000110; // ** ** 1504 1 ***0*** 11'h555: data = 8'b11000110; // ** ** 1505 1 ***0*** 11'h556: data = 8'b11000110; // ** ** 1506 1 ***0*** 11'h557: data = 8'b11000110; // ** ** 1507 1 ***0*** 11'h558: data = 8'b11000110; // ** ** 1508 1 ***0*** 11'h559: data = 8'b11000110; // ** ** 1509 1 ***0*** 11'h55a: data = 8'b11000110; // ** ** 1510 1 ***0*** 11'h55b: data = 8'b01111100; // ***** 1511 1 ***0*** 11'h55c: data = 8'b00000000; // 1512 1 ***0*** 11'h55d: data = 8'b00000000; // 1513 1 ***0*** 11'h55e: data = 8'b00000000; // 1514 1 ***0*** 11'h55f: data = 8'b00000000; // 1516 1 43 11'h560: data = 8'b00000000; // 1517 1 1 11'h561: data = 8'b00000000; // 1518 1 1 11'h562: data = 8'b11000011; // ** ** 1519 1 1 11'h563: data = 8'b11000011; // ** ** 1520 1 1 11'h564: data = 8'b11000011; // ** ** 1521 1 1 11'h565: data = 8'b11000011; // ** ** 1522 1 1 11'h566: data = 8'b11000011; // ** ** 1523 1 1 11'h567: data = 8'b11000011; // ** ** 1524 1 1 11'h568: data = 8'b11000011; // ** ** 1525 1 1 11'h569: data = 8'b01100110; // ** ** 1526 1 1 11'h56a: data = 8'b00111100; // **** 1527 1 1 11'h56b: data = 8'b00011000; // ** 1528 1 1 11'h56c: data = 8'b00000000; // 1529 1 1 11'h56d: data = 8'b00000000; // 1530 1 1 11'h56e: data = 8'b00000000; // 1531 1 1 11'h56f: data = 8'b00000000; // 1533 1 ***0*** 11'h570: data = 8'b00000000; // 1534 1 ***0*** 11'h571: data = 8'b00000000; // 1535 1 ***0*** 11'h572: data = 8'b11000011; // ** ** 1536 1 ***0*** 11'h573: data = 8'b11000011; // ** ** 1537 1 ***0*** 11'h574: data = 8'b11000011; // ** ** 1538 1 ***0*** 11'h575: data = 8'b11000011; // ** ** 1539 1 ***0*** 11'h576: data = 8'b11000011; // ** ** 1540 1 ***0*** 11'h577: data = 8'b11011011; // ** ** ** 1541 1 ***0*** 11'h578: data = 8'b11011011; // ** ** ** 1542 1 ***0*** 11'h579: data = 8'b11111111; // ******** 1543 1 ***0*** 11'h57a: data = 8'b01100110; // ** ** 1544 1 ***0*** 11'h57b: data = 8'b01100110; // ** ** 1545 1 ***0*** 11'h57c: data = 8'b00000000; // 1546 1 ***0*** 11'h57d: data = 8'b00000000; // 1547 1 ***0*** 11'h57e: data = 8'b00000000; // 1548 1 ***0*** 11'h57f: data = 8'b00000000; // 1550 1 ***0*** 11'h580: data = 8'b00000000; // 1551 1 ***0*** 11'h581: data = 8'b00000000; // 1552 1 ***0*** 11'h582: data = 8'b11000011; // ** ** 1553 1 ***0*** 11'h583: data = 8'b11000011; // ** ** 1554 1 ***0*** 11'h584: data = 8'b01100110; // ** ** 1555 1 ***0*** 11'h585: data = 8'b00111100; // **** 1556 1 ***0*** 11'h586: data = 8'b00011000; // ** 1557 1 ***0*** 11'h587: data = 8'b00011000; // ** 1558 1 ***0*** 11'h588: data = 8'b00111100; // **** 1559 1 ***0*** 11'h589: data = 8'b01100110; // ** ** 1560 1 ***0*** 11'h58a: data = 8'b11000011; // ** ** 1561 1 ***0*** 11'h58b: data = 8'b11000011; // ** ** 1562 1 ***0*** 11'h58c: data = 8'b00000000; // 1563 1 ***0*** 11'h58d: data = 8'b00000000; // 1564 1 ***0*** 11'h58e: data = 8'b00000000; // 1565 1 ***0*** 11'h58f: data = 8'b00000000; // 1567 1 ***0*** 11'h590: data = 8'b00000000; // 1568 1 ***0*** 11'h591: data = 8'b00000000; // 1569 1 ***0*** 11'h592: data = 8'b11000011; // ** ** 1570 1 ***0*** 11'h593: data = 8'b11000011; // ** ** 1571 1 ***0*** 11'h594: data = 8'b11000011; // ** ** 1572 1 ***0*** 11'h595: data = 8'b01100110; // ** ** 1573 1 ***0*** 11'h596: data = 8'b00111100; // **** 1574 1 ***0*** 11'h597: data = 8'b00011000; // ** 1575 1 ***0*** 11'h598: data = 8'b00011000; // ** 1576 1 ***0*** 11'h599: data = 8'b00011000; // ** 1577 1 ***0*** 11'h59a: data = 8'b00011000; // ** 1578 1 ***0*** 11'h59b: data = 8'b00111100; // **** 1579 1 ***0*** 11'h59c: data = 8'b00000000; // 1580 1 ***0*** 11'h59d: data = 8'b00000000; // 1581 1 ***0*** 11'h59e: data = 8'b00000000; // 1582 1 ***0*** 11'h59f: data = 8'b00000000; // 1584 1 ***0*** 11'h5a0: data = 8'b00000000; // 1585 1 ***0*** 11'h5a1: data = 8'b00000000; // 1586 1 ***0*** 11'h5a2: data = 8'b11111111; // ******** 1587 1 ***0*** 11'h5a3: data = 8'b11000011; // ** ** 1588 1 ***0*** 11'h5a4: data = 8'b10000110; // * ** 1589 1 ***0*** 11'h5a5: data = 8'b00001100; // ** 1590 1 ***0*** 11'h5a6: data = 8'b00011000; // ** 1591 1 ***0*** 11'h5a7: data = 8'b00110000; // ** 1592 1 ***0*** 11'h5a8: data = 8'b01100000; // ** 1593 1 ***0*** 11'h5a9: data = 8'b11000001; // ** * 1594 1 ***0*** 11'h5aa: data = 8'b11000011; // ** ** 1595 1 ***0*** 11'h5ab: data = 8'b11111111; // ******** 1596 1 ***0*** 11'h5ac: data = 8'b00000000; // 1597 1 ***0*** 11'h5ad: data = 8'b00000000; // 1598 1 ***0*** 11'h5ae: data = 8'b00000000; // 1599 1 ***0*** 11'h5af: data = 8'b00000000; // 1601 1 43 11'h5b0: data = 8'b00000000; // 1602 1 1 11'h5b1: data = 8'b00000000; // 1603 1 1 11'h5b2: data = 8'b00111100; // **** 1604 1 1 11'h5b3: data = 8'b00110000; // ** 1605 1 1 11'h5b4: data = 8'b00110000; // ** 1606 1 1 11'h5b5: data = 8'b00110000; // ** 1607 1 1 11'h5b6: data = 8'b00110000; // ** 1608 1 1 11'h5b7: data = 8'b00110000; // ** 1609 1 1 11'h5b8: data = 8'b00110000; // ** 1610 1 1 11'h5b9: data = 8'b00110000; // ** 1611 1 1 11'h5ba: data = 8'b00110000; // ** 1612 1 1 11'h5bb: data = 8'b00111100; // **** 1613 1 1 11'h5bc: data = 8'b00000000; // 1614 1 1 11'h5bd: data = 8'b00000000; // 1615 1 1 11'h5be: data = 8'b00000000; // 1616 1 1 11'h5bf: data = 8'b00000000; // 1618 1 ***0*** 11'h5c0: data = 8'b00000000; // 1619 1 ***0*** 11'h5c1: data = 8'b00000000; // 1620 1 ***0*** 11'h5c2: data = 8'b00000000; // 1621 1 ***0*** 11'h5c3: data = 8'b10000000; // * 1622 1 ***0*** 11'h5c4: data = 8'b11000000; // ** 1623 1 ***0*** 11'h5c5: data = 8'b11100000; // *** 1624 1 ***0*** 11'h5c6: data = 8'b01110000; // *** 1625 1 ***0*** 11'h5c7: data = 8'b00111000; // *** 1626 1 ***0*** 11'h5c8: data = 8'b00011100; // *** 1627 1 ***0*** 11'h5c9: data = 8'b00001110; // *** 1628 1 ***0*** 11'h5ca: data = 8'b00000110; // ** 1629 1 ***0*** 11'h5cb: data = 8'b00000010; // * 1630 1 ***0*** 11'h5cc: data = 8'b00000000; // 1631 1 ***0*** 11'h5cd: data = 8'b00000000; // 1632 1 ***0*** 11'h5ce: data = 8'b00000000; // 1633 1 ***0*** 11'h5cf: data = 8'b00000000; // 1635 1 43 11'h5d0: data = 8'b00000000; // 1636 1 1 11'h5d1: data = 8'b00000000; // 1637 1 1 11'h5d2: data = 8'b00111100; // **** 1638 1 1 11'h5d3: data = 8'b00001100; // ** 1639 1 1 11'h5d4: data = 8'b00001100; // ** 1640 1 1 11'h5d5: data = 8'b00001100; // ** 1641 1 1 11'h5d6: data = 8'b00001100; // ** 1642 1 1 11'h5d7: data = 8'b00001100; // ** 1643 1 1 11'h5d8: data = 8'b00001100; // ** 1644 1 1 11'h5d9: data = 8'b00001100; // ** 1645 1 1 11'h5da: data = 8'b00001100; // ** 1646 1 1 11'h5db: data = 8'b00111100; // **** 1647 1 1 11'h5dc: data = 8'b00000000; // 1648 1 1 11'h5dd: data = 8'b00000000; // 1649 1 1 11'h5de: data = 8'b00000000; // 1650 1 1 11'h5df: data = 8'b00000000; // 1652 1 ***0*** 11'h5e0: data = 8'b00010000; // * 1653 1 ***0*** 11'h5e1: data = 8'b00111000; // *** 1654 1 ***0*** 11'h5e2: data = 8'b01101100; // ** ** 1655 1 ***0*** 11'h5e3: data = 8'b11000110; // ** ** 1656 1 ***0*** 11'h5e4: data = 8'b00000000; // 1657 1 ***0*** 11'h5e5: data = 8'b00000000; // 1658 1 ***0*** 11'h5e6: data = 8'b00000000; // 1659 1 ***0*** 11'h5e7: data = 8'b00000000; // 1660 1 ***0*** 11'h5e8: data = 8'b00000000; // 1661 1 ***0*** 11'h5e9: data = 8'b00000000; // 1662 1 ***0*** 11'h5ea: data = 8'b00000000; // 1663 1 ***0*** 11'h5eb: data = 8'b00000000; // 1664 1 ***0*** 11'h5ec: data = 8'b00000000; // 1665 1 ***0*** 11'h5ed: data = 8'b00000000; // 1666 1 ***0*** 11'h5ee: data = 8'b00000000; // 1667 1 ***0*** 11'h5ef: data = 8'b00000000; // 1669 1 ***0*** 11'h5f0: data = 8'b00000000; // 1670 1 ***0*** 11'h5f1: data = 8'b00000000; // 1671 1 ***0*** 11'h5f2: data = 8'b00000000; // 1672 1 ***0*** 11'h5f3: data = 8'b00000000; // 1673 1 ***0*** 11'h5f4: data = 8'b00000000; // 1674 1 ***0*** 11'h5f5: data = 8'b00000000; // 1675 1 ***0*** 11'h5f6: data = 8'b00000000; // 1676 1 ***0*** 11'h5f7: data = 8'b00000000; // 1677 1 ***0*** 11'h5f8: data = 8'b00000000; // 1678 1 ***0*** 11'h5f9: data = 8'b00000000; // 1679 1 ***0*** 11'h5fa: data = 8'b00000000; // 1680 1 ***0*** 11'h5fb: data = 8'b00000000; // 1681 1 ***0*** 11'h5fc: data = 8'b00000000; // 1682 1 ***0*** 11'h5fd: data = 8'b11111111; // ******** 1683 1 ***0*** 11'h5fe: data = 8'b00000000; // 1684 1 ***0*** 11'h5ff: data = 8'b00000000; // 1686 1 ***0*** 11'h600: data = 8'b00110000; // ** 1687 1 ***0*** 11'h601: data = 8'b00110000; // ** 1688 1 ***0*** 11'h602: data = 8'b00011000; // ** 1689 1 ***0*** 11'h603: data = 8'b00000000; // 1690 1 ***0*** 11'h604: data = 8'b00000000; // 1691 1 ***0*** 11'h605: data = 8'b00000000; // 1692 1 ***0*** 11'h606: data = 8'b00000000; // 1693 1 ***0*** 11'h607: data = 8'b00000000; // 1694 1 ***0*** 11'h608: data = 8'b00000000; // 1695 1 ***0*** 11'h609: data = 8'b00000000; // 1696 1 ***0*** 11'h60a: data = 8'b00000000; // 1697 1 ***0*** 11'h60b: data = 8'b00000000; // 1698 1 ***0*** 11'h60c: data = 8'b00000000; // 1699 1 ***0*** 11'h60d: data = 8'b00000000; // 1700 1 ***0*** 11'h60e: data = 8'b00000000; // 1701 1 ***0*** 11'h60f: data = 8'b00000000; // 1703 1 ***0*** 11'h610: data = 8'b00000000; // 1704 1 ***0*** 11'h611: data = 8'b00000000; // 1705 1 ***0*** 11'h612: data = 8'b00000000; // 1706 1 ***0*** 11'h613: data = 8'b00000000; // 1707 1 ***0*** 11'h614: data = 8'b00000000; // 1708 1 ***0*** 11'h615: data = 8'b01111000; // **** 1709 1 ***0*** 11'h616: data = 8'b00001100; // ** 1710 1 ***0*** 11'h617: data = 8'b01111100; // ***** 1711 1 ***0*** 11'h618: data = 8'b11001100; // ** ** 1712 1 ***0*** 11'h619: data = 8'b11001100; // ** ** 1713 1 ***0*** 11'h61a: data = 8'b11001100; // ** ** 1714 1 ***0*** 11'h61b: data = 8'b01110110; // *** ** 1715 1 ***0*** 11'h61c: data = 8'b00000000; // 1716 1 ***0*** 11'h61d: data = 8'b00000000; // 1717 1 ***0*** 11'h61e: data = 8'b00000000; // 1718 1 ***0*** 11'h61f: data = 8'b00000000; // 1720 1 43 11'h620: data = 8'b00000000; // 1721 1 1 11'h621: data = 8'b00000000; // 1722 1 1 11'h622: data = 8'b11100000; // *** 1723 1 1 11'h623: data = 8'b01100000; // ** 1724 1 1 11'h624: data = 8'b01100000; // ** 1725 1 1 11'h625: data = 8'b01111000; // **** 1726 1 1 11'h626: data = 8'b01101100; // ** ** 1727 1 1 11'h627: data = 8'b01100110; // ** ** 1728 1 1 11'h628: data = 8'b01100110; // ** ** 1729 1 1 11'h629: data = 8'b01100110; // ** ** 1730 1 1 11'h62a: data = 8'b01100110; // ** ** 1731 1 1 11'h62b: data = 8'b01111100; // ***** 1732 1 1 11'h62c: data = 8'b00000000; // 1733 1 1 11'h62d: data = 8'b00000000; // 1734 1 1 11'h62e: data = 8'b00000000; // 1735 1 1 11'h62f: data = 8'b00000000; // 1737 1 ***0*** 11'h630: data = 8'b00000000; // 1738 1 ***0*** 11'h631: data = 8'b00000000; // 1739 1 ***0*** 11'h632: data = 8'b00000000; // 1740 1 ***0*** 11'h633: data = 8'b00000000; // 1741 1 ***0*** 11'h634: data = 8'b00000000; // 1742 1 ***0*** 11'h635: data = 8'b01111100; // ***** 1743 1 ***0*** 11'h636: data = 8'b11000110; // ** ** 1744 1 ***0*** 11'h637: data = 8'b11000000; // ** 1745 1 ***0*** 11'h638: data = 8'b11000000; // ** 1746 1 ***0*** 11'h639: data = 8'b11000000; // ** 1747 1 ***0*** 11'h63a: data = 8'b11000110; // ** ** 1748 1 ***0*** 11'h63b: data = 8'b01111100; // ***** 1749 1 ***0*** 11'h63c: data = 8'b00000000; // 1750 1 ***0*** 11'h63d: data = 8'b00000000; // 1751 1 ***0*** 11'h63e: data = 8'b00000000; // 1752 1 ***0*** 11'h63f: data = 8'b00000000; // 1754 1 43 11'h640: data = 8'b00000000; // 1755 1 1 11'h641: data = 8'b00000000; // 1756 1 1 11'h642: data = 8'b00011100; // *** 1757 1 1 11'h643: data = 8'b00001100; // ** 1758 1 1 11'h644: data = 8'b00001100; // ** 1759 1 1 11'h645: data = 8'b00111100; // **** 1760 1 1 11'h646: data = 8'b01101100; // ** ** 1761 1 1 11'h647: data = 8'b11001100; // ** ** 1762 1 1 11'h648: data = 8'b11001100; // ** ** 1763 1 1 11'h649: data = 8'b11001100; // ** ** 1764 1 1 11'h64a: data = 8'b11001100; // ** ** 1765 1 1 11'h64b: data = 8'b01110110; // *** ** 1766 1 1 11'h64c: data = 8'b00000000; // 1767 1 1 11'h64d: data = 8'b00000000; // 1768 1 1 11'h64e: data = 8'b00000000; // 1769 1 1 11'h64f: data = 8'b00000000; // 1771 1 ***0*** 11'h650: data = 8'b00000000; // 1772 1 ***0*** 11'h651: data = 8'b00000000; // 1773 1 ***0*** 11'h652: data = 8'b00000000; // 1774 1 ***0*** 11'h653: data = 8'b00000000; // 1775 1 ***0*** 11'h654: data = 8'b00000000; // 1776 1 ***0*** 11'h655: data = 8'b01111100; // ***** 1777 1 ***0*** 11'h656: data = 8'b11000110; // ** ** 1778 1 ***0*** 11'h657: data = 8'b11111110; // ******* 1779 1 ***0*** 11'h658: data = 8'b11000000; // ** 1780 1 ***0*** 11'h659: data = 8'b11000000; // ** 1781 1 ***0*** 11'h65a: data = 8'b11000110; // ** ** 1782 1 ***0*** 11'h65b: data = 8'b01111100; // ***** 1783 1 ***0*** 11'h65c: data = 8'b00000000; // 1784 1 ***0*** 11'h65d: data = 8'b00000000; // 1785 1 ***0*** 11'h65e: data = 8'b00000000; // 1786 1 ***0*** 11'h65f: data = 8'b00000000; // 1788 1 ***0*** 11'h660: data = 8'b00000000; // 1789 1 ***0*** 11'h661: data = 8'b00000000; // 1790 1 ***0*** 11'h662: data = 8'b00111000; // *** 1791 1 ***0*** 11'h663: data = 8'b01101100; // ** ** 1792 1 ***0*** 11'h664: data = 8'b01100100; // ** * 1793 1 ***0*** 11'h665: data = 8'b01100000; // ** 1794 1 ***0*** 11'h666: data = 8'b11110000; // **** 1795 1 ***0*** 11'h667: data = 8'b01100000; // ** 1796 1 ***0*** 11'h668: data = 8'b01100000; // ** 1797 1 ***0*** 11'h669: data = 8'b01100000; // ** 1798 1 ***0*** 11'h66a: data = 8'b01100000; // ** 1799 1 ***0*** 11'h66b: data = 8'b11110000; // **** 1800 1 ***0*** 11'h66c: data = 8'b00000000; // 1801 1 ***0*** 11'h66d: data = 8'b00000000; // 1802 1 ***0*** 11'h66e: data = 8'b00000000; // 1803 1 ***0*** 11'h66f: data = 8'b00000000; // 1805 1 ***0*** 11'h670: data = 8'b00000000; // 1806 1 ***0*** 11'h671: data = 8'b00000000; // 1807 1 ***0*** 11'h672: data = 8'b00000000; // 1808 1 ***0*** 11'h673: data = 8'b00000000; // 1809 1 ***0*** 11'h674: data = 8'b00000000; // 1810 1 ***0*** 11'h675: data = 8'b01110110; // *** ** 1811 1 ***0*** 11'h676: data = 8'b11001100; // ** ** 1812 1 ***0*** 11'h677: data = 8'b11001100; // ** ** 1813 1 ***0*** 11'h678: data = 8'b11001100; // ** ** 1814 1 ***0*** 11'h679: data = 8'b11001100; // ** ** 1815 1 ***0*** 11'h67a: data = 8'b11001100; // ** ** 1816 1 ***0*** 11'h67b: data = 8'b01111100; // ***** 1817 1 ***0*** 11'h67c: data = 8'b00001100; // ** 1818 1 ***0*** 11'h67d: data = 8'b11001100; // ** ** 1819 1 ***0*** 11'h67e: data = 8'b01111000; // **** 1820 1 ***0*** 11'h67f: data = 8'b00000000; // 1822 1 ***0*** 11'h680: data = 8'b00000000; // 1823 1 ***0*** 11'h681: data = 8'b00000000; // 1824 1 ***0*** 11'h682: data = 8'b11100000; // *** 1825 1 ***0*** 11'h683: data = 8'b01100000; // ** 1826 1 ***0*** 11'h684: data = 8'b01100000; // ** 1827 1 ***0*** 11'h685: data = 8'b01101100; // ** ** 1828 1 ***0*** 11'h686: data = 8'b01110110; // *** ** 1829 1 ***0*** 11'h687: data = 8'b01100110; // ** ** 1830 1 ***0*** 11'h688: data = 8'b01100110; // ** ** 1831 1 ***0*** 11'h689: data = 8'b01100110; // ** ** 1832 1 ***0*** 11'h68a: data = 8'b01100110; // ** ** 1833 1 ***0*** 11'h68b: data = 8'b11100110; // *** ** 1834 1 ***0*** 11'h68c: data = 8'b00000000; // 1835 1 ***0*** 11'h68d: data = 8'b00000000; // 1836 1 ***0*** 11'h68e: data = 8'b00000000; // 1837 1 ***0*** 11'h68f: data = 8'b00000000; // 1839 1 43 11'h690: data = 8'b00000000; // 1840 1 1 11'h691: data = 8'b00000000; // 1841 1 1 11'h692: data = 8'b00011000; // ** 1842 1 1 11'h693: data = 8'b00011000; // ** 1843 1 1 11'h694: data = 8'b00000000; // 1844 1 1 11'h695: data = 8'b00111000; // *** 1845 1 1 11'h696: data = 8'b00011000; // ** 1846 1 1 11'h697: data = 8'b00011000; // ** 1847 1 1 11'h698: data = 8'b00011000; // ** 1848 1 1 11'h699: data = 8'b00011000; // ** 1849 1 1 11'h69a: data = 8'b00011000; // ** 1850 1 1 11'h69b: data = 8'b00111100; // **** 1851 1 1 11'h69c: data = 8'b00000000; // 1852 1 1 11'h69d: data = 8'b00000000; // 1853 1 1 11'h69e: data = 8'b00000000; // 1854 1 1 11'h69f: data = 8'b00000000; // 1856 1 ***0*** 11'h6a0: data = 8'b00000000; // 1857 1 ***0*** 11'h6a1: data = 8'b00000000; // 1858 1 ***0*** 11'h6a2: data = 8'b00000110; // ** 1859 1 ***0*** 11'h6a3: data = 8'b00000110; // ** 1860 1 ***0*** 11'h6a4: data = 8'b00000000; // 1861 1 ***0*** 11'h6a5: data = 8'b00001110; // *** 1862 1 ***0*** 11'h6a6: data = 8'b00000110; // ** 1863 1 ***0*** 11'h6a7: data = 8'b00000110; // ** 1864 1 ***0*** 11'h6a8: data = 8'b00000110; // ** 1865 1 ***0*** 11'h6a9: data = 8'b00000110; // ** 1866 1 ***0*** 11'h6aa: data = 8'b00000110; // ** 1867 1 ***0*** 11'h6ab: data = 8'b00000110; // ** 1868 1 ***0*** 11'h6ac: data = 8'b01100110; // ** ** 1869 1 ***0*** 11'h6ad: data = 8'b01100110; // ** ** 1870 1 ***0*** 11'h6ae: data = 8'b00111100; // **** 1871 1 ***0*** 11'h6af: data = 8'b00000000; // 1873 1 ***0*** 11'h6b0: data = 8'b00000000; // 1874 1 ***0*** 11'h6b1: data = 8'b00000000; // 1875 1 ***0*** 11'h6b2: data = 8'b11100000; // *** 1876 1 ***0*** 11'h6b3: data = 8'b01100000; // ** 1877 1 ***0*** 11'h6b4: data = 8'b01100000; // ** 1878 1 ***0*** 11'h6b5: data = 8'b01100110; // ** ** 1879 1 ***0*** 11'h6b6: data = 8'b01101100; // ** ** 1880 1 ***0*** 11'h6b7: data = 8'b01111000; // **** 1881 1 ***0*** 11'h6b8: data = 8'b01111000; // **** 1882 1 ***0*** 11'h6b9: data = 8'b01101100; // ** ** 1883 1 ***0*** 11'h6ba: data = 8'b01100110; // ** ** 1884 1 ***0*** 11'h6bb: data = 8'b11100110; // *** ** 1885 1 ***0*** 11'h6bc: data = 8'b00000000; // 1886 1 ***0*** 11'h6bd: data = 8'b00000000; // 1887 1 ***0*** 11'h6be: data = 8'b00000000; // 1888 1 ***0*** 11'h6bf: data = 8'b00000000; // 1890 1 43 11'h6c0: data = 8'b00000000; // 1891 1 1 11'h6c1: data = 8'b00000000; // 1892 1 1 11'h6c2: data = 8'b00111000; // *** 1893 1 1 11'h6c3: data = 8'b00011000; // ** 1894 1 1 11'h6c4: data = 8'b00011000; // ** 1895 1 1 11'h6c5: data = 8'b00011000; // ** 1896 1 1 11'h6c6: data = 8'b00011000; // ** 1897 1 1 11'h6c7: data = 8'b00011000; // ** 1898 1 1 11'h6c8: data = 8'b00011000; // ** 1899 1 1 11'h6c9: data = 8'b00011000; // ** 1900 1 1 11'h6ca: data = 8'b00011000; // ** 1901 1 1 11'h6cb: data = 8'b00111100; // **** 1902 1 1 11'h6cc: data = 8'b00000000; // 1903 1 1 11'h6cd: data = 8'b00000000; // 1904 1 1 11'h6ce: data = 8'b00000000; // 1905 1 1 11'h6cf: data = 8'b00000000; // 1907 1 ***0*** 11'h6d0: data = 8'b00000000; // 1908 1 ***0*** 11'h6d1: data = 8'b00000000; // 1909 1 ***0*** 11'h6d2: data = 8'b00000000; // 1910 1 ***0*** 11'h6d3: data = 8'b00000000; // 1911 1 ***0*** 11'h6d4: data = 8'b00000000; // 1912 1 ***0*** 11'h6d5: data = 8'b11100110; // *** ** 1913 1 ***0*** 11'h6d6: data = 8'b11111111; // ******** 1914 1 ***0*** 11'h6d7: data = 8'b11011011; // ** ** ** 1915 1 ***0*** 11'h6d8: data = 8'b11011011; // ** ** ** 1916 1 ***0*** 11'h6d9: data = 8'b11011011; // ** ** ** 1917 1 ***0*** 11'h6da: data = 8'b11011011; // ** ** ** 1918 1 ***0*** 11'h6db: data = 8'b11011011; // ** ** ** 1919 1 ***0*** 11'h6dc: data = 8'b00000000; // 1920 1 ***0*** 11'h6dd: data = 8'b00000000; // 1921 1 ***0*** 11'h6de: data = 8'b00000000; // 1922 1 ***0*** 11'h6df: data = 8'b00000000; // 1924 1 ***0*** 11'h6e0: data = 8'b00000000; // 1925 1 ***0*** 11'h6e1: data = 8'b00000000; // 1926 1 ***0*** 11'h6e2: data = 8'b00000000; // 1927 1 ***0*** 11'h6e3: data = 8'b00000000; // 1928 1 ***0*** 11'h6e4: data = 8'b00000000; // 1929 1 ***0*** 11'h6e5: data = 8'b11011100; // ** *** 1930 1 ***0*** 11'h6e6: data = 8'b01100110; // ** ** 1931 1 ***0*** 11'h6e7: data = 8'b01100110; // ** ** 1932 1 ***0*** 11'h6e8: data = 8'b01100110; // ** ** 1933 1 ***0*** 11'h6e9: data = 8'b01100110; // ** ** 1934 1 ***0*** 11'h6ea: data = 8'b01100110; // ** ** 1935 1 ***0*** 11'h6eb: data = 8'b01100110; // ** ** 1936 1 ***0*** 11'h6ec: data = 8'b00000000; // 1937 1 ***0*** 11'h6ed: data = 8'b00000000; // 1938 1 ***0*** 11'h6ee: data = 8'b00000000; // 1939 1 ***0*** 11'h6ef: data = 8'b00000000; // 1941 1 ***0*** 11'h6f0: data = 8'b00000000; // 1942 1 ***0*** 11'h6f1: data = 8'b00000000; // 1943 1 ***0*** 11'h6f2: data = 8'b00000000; // 1944 1 ***0*** 11'h6f3: data = 8'b00000000; // 1945 1 ***0*** 11'h6f4: data = 8'b00000000; // 1946 1 ***0*** 11'h6f5: data = 8'b01111100; // ***** 1947 1 ***0*** 11'h6f6: data = 8'b11000110; // ** ** 1948 1 ***0*** 11'h6f7: data = 8'b11000110; // ** ** 1949 1 ***0*** 11'h6f8: data = 8'b11000110; // ** ** 1950 1 ***0*** 11'h6f9: data = 8'b11000110; // ** ** 1951 1 ***0*** 11'h6fa: data = 8'b11000110; // ** ** 1952 1 ***0*** 11'h6fb: data = 8'b01111100; // ***** 1953 1 ***0*** 11'h6fc: data = 8'b00000000; // 1954 1 ***0*** 11'h6fd: data = 8'b00000000; // 1955 1 ***0*** 11'h6fe: data = 8'b00000000; // 1956 1 ***0*** 11'h6ff: data = 8'b00000000; // 1958 1 ***0*** 11'h700: data = 8'b00000000; // 1959 1 ***0*** 11'h701: data = 8'b00000000; // 1960 1 ***0*** 11'h702: data = 8'b00000000; // 1961 1 ***0*** 11'h703: data = 8'b00000000; // 1962 1 ***0*** 11'h704: data = 8'b00000000; // 1963 1 ***0*** 11'h705: data = 8'b11011100; // ** *** 1964 1 ***0*** 11'h706: data = 8'b01100110; // ** ** 1965 1 ***0*** 11'h707: data = 8'b01100110; // ** ** 1966 1 ***0*** 11'h708: data = 8'b01100110; // ** ** 1967 1 ***0*** 11'h709: data = 8'b01100110; // ** ** 1968 1 ***0*** 11'h70a: data = 8'b01100110; // ** ** 1969 1 ***0*** 11'h70b: data = 8'b01111100; // ***** 1970 1 ***0*** 11'h70c: data = 8'b01100000; // ** 1971 1 ***0*** 11'h70d: data = 8'b01100000; // ** 1972 1 ***0*** 11'h70e: data = 8'b11110000; // **** 1973 1 ***0*** 11'h70f: data = 8'b00000000; // 1975 1 ***0*** 11'h710: data = 8'b00000000; // 1976 1 ***0*** 11'h711: data = 8'b00000000; // 1977 1 ***0*** 11'h712: data = 8'b00000000; // 1978 1 ***0*** 11'h713: data = 8'b00000000; // 1979 1 ***0*** 11'h714: data = 8'b00000000; // 1980 1 ***0*** 11'h715: data = 8'b01110110; // *** ** 1981 1 ***0*** 11'h716: data = 8'b11001100; // ** ** 1982 1 ***0*** 11'h717: data = 8'b11001100; // ** ** 1983 1 ***0*** 11'h718: data = 8'b11001100; // ** ** 1984 1 ***0*** 11'h719: data = 8'b11001100; // ** ** 1985 1 ***0*** 11'h71a: data = 8'b11001100; // ** ** 1986 1 ***0*** 11'h71b: data = 8'b01111100; // ***** 1987 1 ***0*** 11'h71c: data = 8'b00001100; // ** 1988 1 ***0*** 11'h71d: data = 8'b00001100; // ** 1989 1 ***0*** 11'h71e: data = 8'b00011110; // **** 1990 1 ***0*** 11'h71f: data = 8'b00000000; // 1992 1 43 11'h720: data = 8'b00000000; // 1993 1 1 11'h721: data = 8'b00000000; // 1994 1 1 11'h722: data = 8'b00000000; // 1995 1 1 11'h723: data = 8'b00000000; // 1996 1 1 11'h724: data = 8'b00000000; // 1997 1 1 11'h725: data = 8'b11011100; // ** *** 1998 1 1 11'h726: data = 8'b01110110; // *** ** 1999 1 1 11'h727: data = 8'b01100110; // ** ** 2000 1 1 11'h728: data = 8'b01100000; // ** 2001 1 1 11'h729: data = 8'b01100000; // ** 2002 1 1 11'h72a: data = 8'b01100000; // ** 2003 1 1 11'h72b: data = 8'b11110000; // **** 2004 1 1 11'h72c: data = 8'b00000000; // 2005 1 1 11'h72d: data = 8'b00000000; // 2006 1 1 11'h72e: data = 8'b00000000; // 2007 1 1 11'h72f: data = 8'b00000000; // 2009 1 ***0*** 11'h730: data = 8'b00000000; // 2010 1 ***0*** 11'h731: data = 8'b00000000; // 2011 1 ***0*** 11'h732: data = 8'b00000000; // 2012 1 ***0*** 11'h733: data = 8'b00000000; // 2013 1 ***0*** 11'h734: data = 8'b00000000; // 2014 1 ***0*** 11'h735: data = 8'b01111100; // ***** 2015 1 ***0*** 11'h736: data = 8'b11000110; // ** ** 2016 1 ***0*** 11'h737: data = 8'b01100000; // ** 2017 1 ***0*** 11'h738: data = 8'b00111000; // *** 2018 1 ***0*** 11'h739: data = 8'b00001100; // ** 2019 1 ***0*** 11'h73a: data = 8'b11000110; // ** ** 2020 1 ***0*** 11'h73b: data = 8'b01111100; // ***** 2021 1 ***0*** 11'h73c: data = 8'b00000000; // 2022 1 ***0*** 11'h73d: data = 8'b00000000; // 2023 1 ***0*** 11'h73e: data = 8'b00000000; // 2024 1 ***0*** 11'h73f: data = 8'b00000000; // 2026 1 ***0*** 11'h740: data = 8'b00000000; // 2027 1 ***0*** 11'h741: data = 8'b00000000; // 2028 1 ***0*** 11'h742: data = 8'b00010000; // * 2029 1 ***0*** 11'h743: data = 8'b00110000; // ** 2030 1 ***0*** 11'h744: data = 8'b00110000; // ** 2031 1 ***0*** 11'h745: data = 8'b11111100; // ****** 2032 1 ***0*** 11'h746: data = 8'b00110000; // ** 2033 1 ***0*** 11'h747: data = 8'b00110000; // ** 2034 1 ***0*** 11'h748: data = 8'b00110000; // ** 2035 1 ***0*** 11'h749: data = 8'b00110000; // ** 2036 1 ***0*** 11'h74a: data = 8'b00110110; // ** ** 2037 1 ***0*** 11'h74b: data = 8'b00011100; // *** 2038 1 ***0*** 11'h74c: data = 8'b00000000; // 2039 1 ***0*** 11'h74d: data = 8'b00000000; // 2040 1 ***0*** 11'h74e: data = 8'b00000000; // 2041 1 ***0*** 11'h74f: data = 8'b00000000; // 2043 1 ***0*** 11'h750: data = 8'b00000000; // 2044 1 ***0*** 11'h751: data = 8'b00000000; // 2045 1 ***0*** 11'h752: data = 8'b00000000; // 2046 1 ***0*** 11'h753: data = 8'b00000000; // 2047 1 ***0*** 11'h754: data = 8'b00000000; // 2048 1 ***0*** 11'h755: data = 8'b11001100; // ** ** 2049 1 ***0*** 11'h756: data = 8'b11001100; // ** ** 2050 1 ***0*** 11'h757: data = 8'b11001100; // ** ** 2051 1 ***0*** 11'h758: data = 8'b11001100; // ** ** 2052 1 ***0*** 11'h759: data = 8'b11001100; // ** ** 2053 1 ***0*** 11'h75a: data = 8'b11001100; // ** ** 2054 1 ***0*** 11'h75b: data = 8'b01110110; // *** ** 2055 1 ***0*** 11'h75c: data = 8'b00000000; // 2056 1 ***0*** 11'h75d: data = 8'b00000000; // 2057 1 ***0*** 11'h75e: data = 8'b00000000; // 2058 1 ***0*** 11'h75f: data = 8'b00000000; // 2060 1 ***0*** 11'h760: data = 8'b00000000; // 2061 1 ***0*** 11'h761: data = 8'b00000000; // 2062 1 ***0*** 11'h762: data = 8'b00000000; // 2063 1 ***0*** 11'h763: data = 8'b00000000; // 2064 1 ***0*** 11'h764: data = 8'b00000000; // 2065 1 ***0*** 11'h765: data = 8'b11000011; // ** ** 2066 1 ***0*** 11'h766: data = 8'b11000011; // ** ** 2067 1 ***0*** 11'h767: data = 8'b11000011; // ** ** 2068 1 ***0*** 11'h768: data = 8'b11000011; // ** ** 2069 1 ***0*** 11'h769: data = 8'b01100110; // ** ** 2070 1 ***0*** 11'h76a: data = 8'b00111100; // **** 2071 1 ***0*** 11'h76b: data = 8'b00011000; // ** 2072 1 ***0*** 11'h76c: data = 8'b00000000; // 2073 1 ***0*** 11'h76d: data = 8'b00000000; // 2074 1 ***0*** 11'h76e: data = 8'b00000000; // 2075 1 ***0*** 11'h76f: data = 8'b00000000; // 2077 1 43 11'h770: data = 8'b00000000; // 2078 1 1 11'h771: data = 8'b00000000; // 2079 1 1 11'h772: data = 8'b00000000; // 2080 1 1 11'h773: data = 8'b00000000; // 2081 1 1 11'h774: data = 8'b00000000; // 2082 1 1 11'h775: data = 8'b11000011; // ** ** 2083 1 1 11'h776: data = 8'b11000011; // ** ** 2084 1 1 11'h777: data = 8'b11000011; // ** ** 2085 1 1 11'h778: data = 8'b11011011; // ** ** ** 2086 1 1 11'h779: data = 8'b11011011; // ** ** ** 2087 1 1 11'h77a: data = 8'b11111111; // ******** 2088 1 1 11'h77b: data = 8'b01100110; // ** ** 2089 1 1 11'h77c: data = 8'b00000000; // 2090 1 1 11'h77d: data = 8'b00000000; // 2091 1 1 11'h77e: data = 8'b00000000; // 2092 1 1 11'h77f: data = 8'b00000000; // 2094 1 ***0*** 11'h780: data = 8'b00000000; // 2095 1 ***0*** 11'h781: data = 8'b00000000; // 2096 1 ***0*** 11'h782: data = 8'b00000000; // 2097 1 ***0*** 11'h783: data = 8'b00000000; // 2098 1 ***0*** 11'h784: data = 8'b00000000; // 2099 1 ***0*** 11'h785: data = 8'b11000011; // ** ** 2100 1 ***0*** 11'h786: data = 8'b01100110; // ** ** 2101 1 ***0*** 11'h787: data = 8'b00111100; // **** 2102 1 ***0*** 11'h788: data = 8'b00011000; // ** 2103 1 ***0*** 11'h789: data = 8'b00111100; // **** 2104 1 ***0*** 11'h78a: data = 8'b01100110; // ** ** 2105 1 ***0*** 11'h78b: data = 8'b11000011; // ** ** 2106 1 ***0*** 11'h78c: data = 8'b00000000; // 2107 1 ***0*** 11'h78d: data = 8'b00000000; // 2108 1 ***0*** 11'h78e: data = 8'b00000000; // 2109 1 ***0*** 11'h78f: data = 8'b00000000; // 2111 1 ***0*** 11'h790: data = 8'b00000000; // 2112 1 ***0*** 11'h791: data = 8'b00000000; // 2113 1 ***0*** 11'h792: data = 8'b00000000; // 2114 1 ***0*** 11'h793: data = 8'b00000000; // 2115 1 ***0*** 11'h794: data = 8'b00000000; // 2116 1 ***0*** 11'h795: data = 8'b11000110; // ** ** 2117 1 ***0*** 11'h796: data = 8'b11000110; // ** ** 2118 1 ***0*** 11'h797: data = 8'b11000110; // ** ** 2119 1 ***0*** 11'h798: data = 8'b11000110; // ** ** 2120 1 ***0*** 11'h799: data = 8'b11000110; // ** ** 2121 1 ***0*** 11'h79a: data = 8'b11000110; // ** ** 2122 1 ***0*** 11'h79b: data = 8'b01111110; // ****** 2123 1 ***0*** 11'h79c: data = 8'b00000110; // ** 2124 1 ***0*** 11'h79d: data = 8'b00001100; // ** 2125 1 ***0*** 11'h79e: data = 8'b11111000; // ***** 2126 1 ***0*** 11'h79f: data = 8'b00000000; // 2128 1 ***0*** 11'h7a0: data = 8'b00000000; // 2129 1 ***0*** 11'h7a1: data = 8'b00000000; // 2130 1 ***0*** 11'h7a2: data = 8'b00000000; // 2131 1 ***0*** 11'h7a3: data = 8'b00000000; // 2132 1 ***0*** 11'h7a4: data = 8'b00000000; // 2133 1 ***0*** 11'h7a5: data = 8'b11111110; // ******* 2134 1 ***0*** 11'h7a6: data = 8'b11001100; // ** ** 2135 1 ***0*** 11'h7a7: data = 8'b00011000; // ** 2136 1 ***0*** 11'h7a8: data = 8'b00110000; // ** 2137 1 ***0*** 11'h7a9: data = 8'b01100000; // ** 2138 1 ***0*** 11'h7aa: data = 8'b11000110; // ** ** 2139 1 ***0*** 11'h7ab: data = 8'b11111110; // ******* 2140 1 ***0*** 11'h7ac: data = 8'b00000000; // 2141 1 ***0*** 11'h7ad: data = 8'b00000000; // 2142 1 ***0*** 11'h7ae: data = 8'b00000000; // 2143 1 ***0*** 11'h7af: data = 8'b00000000; // 2145 1 ***0*** 11'h7b0: data = 8'b00000000; // 2146 1 ***0*** 11'h7b1: data = 8'b00000000; // 2147 1 ***0*** 11'h7b2: data = 8'b00001110; // *** 2148 1 ***0*** 11'h7b3: data = 8'b00011000; // ** 2149 1 ***0*** 11'h7b4: data = 8'b00011000; // ** 2150 1 ***0*** 11'h7b5: data = 8'b00011000; // ** 2151 1 ***0*** 11'h7b6: data = 8'b01110000; // *** 2152 1 ***0*** 11'h7b7: data = 8'b00011000; // ** 2153 1 ***0*** 11'h7b8: data = 8'b00011000; // ** 2154 1 ***0*** 11'h7b9: data = 8'b00011000; // ** 2155 1 ***0*** 11'h7ba: data = 8'b00011000; // ** 2156 1 ***0*** 11'h7bb: data = 8'b00001110; // *** 2157 1 ***0*** 11'h7bc: data = 8'b00000000; // 2158 1 ***0*** 11'h7bd: data = 8'b00000000; // 2159 1 ***0*** 11'h7be: data = 8'b00000000; // 2160 1 ***0*** 11'h7bf: data = 8'b00000000; // 2162 1 43 11'h7c0: data = 8'b00000000; // 2163 1 1 11'h7c1: data = 8'b00000000; // 2164 1 1 11'h7c2: data = 8'b00011000; // ** 2165 1 1 11'h7c3: data = 8'b00011000; // ** 2166 1 1 11'h7c4: data = 8'b00011000; // ** 2167 1 1 11'h7c5: data = 8'b00011000; // ** 2168 1 1 11'h7c6: data = 8'b00000000; // 2169 1 1 11'h7c7: data = 8'b00011000; // ** 2170 1 1 11'h7c8: data = 8'b00011000; // ** 2171 1 1 11'h7c9: data = 8'b00011000; // ** 2172 1 1 11'h7ca: data = 8'b00011000; // ** 2173 1 1 11'h7cb: data = 8'b00011000; // ** 2174 1 1 11'h7cc: data = 8'b00000000; // 2175 1 1 11'h7cd: data = 8'b00000000; // 2176 1 1 11'h7ce: data = 8'b00000000; // 2177 1 1 11'h7cf: data = 8'b00000000; // 2179 1 ***0*** 11'h7d0: data = 8'b00000000; // 2180 1 ***0*** 11'h7d1: data = 8'b00000000; // 2181 1 ***0*** 11'h7d2: data = 8'b01110000; // *** 2182 1 ***0*** 11'h7d3: data = 8'b00011000; // ** 2183 1 ***0*** 11'h7d4: data = 8'b00011000; // ** 2184 1 ***0*** 11'h7d5: data = 8'b00011000; // ** 2185 1 ***0*** 11'h7d6: data = 8'b00001110; // *** 2186 1 ***0*** 11'h7d7: data = 8'b00011000; // ** 2187 1 ***0*** 11'h7d8: data = 8'b00011000; // ** 2188 1 ***0*** 11'h7d9: data = 8'b00011000; // ** 2189 1 ***0*** 11'h7da: data = 8'b00011000; // ** 2190 1 ***0*** 11'h7db: data = 8'b01110000; // *** 2191 1 ***0*** 11'h7dc: data = 8'b00000000; // 2192 1 ***0*** 11'h7dd: data = 8'b00000000; // 2193 1 ***0*** 11'h7de: data = 8'b00000000; // 2194 1 ***0*** 11'h7df: data = 8'b00000000; // 2196 1 ***0*** 11'h7e0: data = 8'b00000000; // 2197 1 ***0*** 11'h7e1: data = 8'b00000000; // 2198 1 ***0*** 11'h7e2: data = 8'b01110110; // *** ** 2199 1 ***0*** 11'h7e3: data = 8'b11011100; // ** *** 2200 1 ***0*** 11'h7e4: data = 8'b00000000; // 2201 1 ***0*** 11'h7e5: data = 8'b00000000; // 2202 1 ***0*** 11'h7e6: data = 8'b00000000; // 2203 1 ***0*** 11'h7e7: data = 8'b00000000; // 2204 1 ***0*** 11'h7e8: data = 8'b00000000; // 2205 1 ***0*** 11'h7e9: data = 8'b00000000; // 2206 1 ***0*** 11'h7ea: data = 8'b00000000; // 2207 1 ***0*** 11'h7eb: data = 8'b00000000; // 2208 1 ***0*** 11'h7ec: data = 8'b00000000; // 2209 1 ***0*** 11'h7ed: data = 8'b00000000; // 2210 1 ***0*** 11'h7ee: data = 8'b00000000; // 2211 1 ***0*** 11'h7ef: data = 8'b00000000; // 2213 1 ***0*** 11'h7f0: data = 8'b00000000; // 2214 1 ***0*** 11'h7f1: data = 8'b00000000; // 2215 1 ***0*** 11'h7f2: data = 8'b00000000; // 2216 1 ***0*** 11'h7f3: data = 8'b00000000; // 2217 1 ***0*** 11'h7f4: data = 8'b00010000; // * 2218 1 ***0*** 11'h7f5: data = 8'b00111000; // *** 2219 1 ***0*** 11'h7f6: data = 8'b01101100; // ** ** 2220 1 ***0*** 11'h7f7: data = 8'b11000110; // ** ** 2221 1 ***0*** 11'h7f8: data = 8'b11000110; // ** ** 2222 1 ***0*** 11'h7f9: data = 8'b11000110; // ** ** 2223 1 ***0*** 11'h7fa: data = 8'b11111110; // ******* 2224 1 ***0*** 11'h7fb: data = 8'b00000000; // 2225 1 ***0*** 11'h7fc: data = 8'b00000000; // 2226 1 ***0*** 11'h7fd: data = 8'b00000000; // 2227 1 ***0*** 11'h7fe: data = 8'b00000000; // 2228 1 ***0*** 11'h7ff: data = 8'b00000000; // 524 All False Count Branch totals: 481 hits of 2049 branches = 23.47% Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 62 62 0 100.00 ================================Toggle Details================================ Toggle Coverage for File rtl/AHB_VGA/font_rom.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- Total Node Count = 31 Toggled Node Count = 31 Untoggled Node Count = 0 Toggle Coverage = 100.00% (62 of 62 bins) ================================================================================= === File: rtl/AHB_VGA/vga_console.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 48 39 9 81.25 ================================Statement Details================================ Statement Coverage for file rtl/AHB_VGA/vga_console.sv -- 1 ////////////////////////////////////////////////////////////////////////////////// 2 //END USER LICENCE AGREEMENT // 3 // // 4 //Copyright (c) 2012, ARM All rights reserved. // 5 // // 6 //THIS END USER LICENCE AGREEMENT (LICENCE) IS A LEGAL AGREEMENT BETWEEN // 7 //YOU AND ARM LIMITED ("ARM") FOR THE USE OF THE SOFTWARE EXAMPLE ACCOMPANYING // 8 //THIS LICENCE. ARM IS ONLY WILLING TO LICENSE THE SOFTWARE EXAMPLE TO YOU ON // 9 //CONDITION THAT YOU ACCEPT ALL OF THE TERMS IN THIS LICENCE. BY INSTALLING OR // 10 //OTHERWISE USING OR COPYING THE SOFTWARE EXAMPLE YOU INDICATE THAT YOU AGREE // 11 //TO BE BOUND BY ALL OF THE TERMS OF THIS LICENCE. IF YOU DO NOT AGREE TO THE // 12 //TERMS OF THIS LICENCE, ARM IS UNWILLING TO LICENSE THE SOFTWARE EXAMPLE TO // 13 //YOU AND YOU MAY NOT INSTALL, USE OR COPY THE SOFTWARE EXAMPLE. // 14 // // 15 //ARM hereby grants to you, subject to the terms and conditions of this Licence,// 16 //a non-exclusive, worldwide, non-transferable, copyright licence only to // 17 //redistribute and use in source and binary forms, with or without modification,// 18 //for academic purposes provided the following conditions are met: // 19 //a) Redistributions of source code must retain the above copyright notice, this// 20 //list of conditions and the following disclaimer. // 21 //b) Redistributions in binary form must reproduce the above copyright notice, // 22 //this list of conditions and the following disclaimer in the documentation // 23 //and/or other materials provided with the distribution. // 24 // // 25 //THIS SOFTWARE EXAMPLE IS PROVIDED BY THE COPYRIGHT HOLDER "AS IS" AND ARM // 26 //EXPRESSLY DISCLAIMS ANY AND ALL WARRANTIES, EXPRESS OR IMPLIED, INCLUDING // 27 //WITHOUT LIMITATION WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR // 28 //PURPOSE, WITH RESPECT TO THIS SOFTWARE EXAMPLE. IN NO EVENT SHALL ARM BE LIABLE/ 29 //FOR ANY DIRECT, INDIRECT, INCIDENTAL, PUNITIVE, OR CONSEQUENTIAL DAMAGES OF ANY/ 30 //KIND WHATSOEVER WITH RESPECT TO THE SOFTWARE EXAMPLE. ARM SHALL NOT BE LIABLE // 31 //FOR ANY CLAIMS, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, // 32 //TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE // 33 //EXAMPLE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE EXAMPLE. FOR THE AVOIDANCE/ 34 // OF DOUBT, NO PATENT LICENSES ARE BEING LICENSED UNDER THIS LICENSE AGREEMENT.// 35 ////////////////////////////////////////////////////////////////////////////////// 36 37 38 module vga_console( 39 input wire clk, 40 input wire resetn, 41 input wire [9:0] pixel_x, 42 input wire [9:0] pixel_y, 43 44 input wire font_we, //font write 45 input wire [7:0] font_data, //input 7-bit ascii value 46 47 output reg [7:0] text_rgb, //output color 48 output reg scroll //signals scrolling 49 ); 50 51 //Screen tile parameters 52 localparam MAX_X = 30; //Number of horizontal tiles 53 localparam MAX_Y = 30; //Number of tile rows 54 55 //Font ROM 56 wire [10:0] rom_addr; 57 wire [6:0] char_addr; 58 wire [3:0] row_addr; 59 wire [2:0] bit_addr; 60 wire [7:0] font_word; 61 wire font_bit; 62 63 //Dual port RAM 64 wire [11:0] addr_r; 65 wire [11:0] addr_w; 66 wire [6:0] din; 67 wire [6:0] dout; 68 69 //Cursor 70 reg [6:0] cur_x_reg; 71 wire [6:0] cur_x_next; 72 reg [4:0] cur_y_reg; 73 wire [4:0] cur_y_next; 74 // wire cursor_on; 75 76 //pixel buffers 77 reg [9:0] pixel_x1; 78 reg [9:0] pixel_x2; 79 reg [9:0] pixel_y1; 80 reg [9:0] pixel_y2; 81 82 wire [7:0] font_rgb; //color for text 83 wire [7:0] font_inv_rgb; //color for text with cursor on top 84 85 reg current_state; 86 reg next_state; 87 88 wire return_key; //carriage return or '\n' 89 wire new_line; //move cursor to next line 90 91 //reg scroll; 92 reg scroll_next; 93 reg [4:0] yn; //row count 94 reg [4:0] yn_next; 95 reg [6:0] xn; //horizontal count 96 reg [6:0] xn_next; 97 98 //Module Instantiation 99 font_rom ufont_rom( 100 .clk(clk), 101 .addr(rom_addr), 102 .data(font_word) 103 ); 104 105 dual_port_ram_sync 106 #(.ADDR_WIDTH(12), .DATA_WIDTH(7)) 107 uvideo_ram 108 ( .clk(clk), 109 .we(we), 110 .addr_a(addr_w), 111 .addr_b(addr_r), 112 .din_a(din), 113 .dout_a(), 114 .dout_b(dout) 115 ); 116 117 //State Machine for cursor and pixel buffer 118 1 672750 always @ (posedge clk, negedge resetn) 119 begin 120 if(!resetn) 121 begin 122 1 3 cur_x_reg <= 0; 123 1 3 cur_y_reg <= 0; 124 end 125 else 126 begin 127 1 672747 cur_x_reg <= cur_x_next; 128 1 672747 cur_y_reg <= cur_y_next; 129 1 672747 pixel_x1 <= pixel_x; 130 1 672747 pixel_x2 <= pixel_x1; 131 1 672747 pixel_y1 <= pixel_y; 132 1 672747 pixel_y2 <= pixel_y1; 133 end 134 end 135 136 137 //Font ROM Access 138 assign row_addr = pixel_y[3:0]; //row value 139 assign rom_addr = {char_addr,row_addr}; //ascii value and row of character 140 1 335362 assign bit_addr = pixel_x2[2:0]; //delayed 141 1 335670 assign font_bit = font_word[~bit_addr]; //output from font rom 142 143 //Return key found 144 1 63 assign return_key = (din == 6'b001101 || din == 6'b001010) && ~scroll; // Return || "\n" 145 146 //Backspace 147 1 62 assign back_space = (din == 6'b001000); 148 149 //New line logic 150 1 62 assign new_line = font_we && ((cur_x_reg == MAX_X-1) || return_key); 151 152 //Next Cursor Position logic 153 1 97 assign cur_x_next = (new_line) ? 2 : 154 (back_space && cur_x_reg) ? cur_x_reg - 1 : 155 (font_we && ~back_space && ~scroll) ? cur_x_reg + 1 : cur_x_reg; 156 157 1 6 assign cur_y_next = (cur_y_reg == MAX_Y-1) ? cur_y_reg : 158 ((new_line) ? cur_y_reg + 1 : cur_y_reg ); 159 160 //Color Generation 161 1 2178 assign font_rgb = (font_bit) ? 8'b00011100 : 8'b00000000; //green:black 162 1 2178 assign font_inv_rgb = (font_bit) ? 8'b0000000 : 8'b00011100; //black:green 163 164 //Display logic for cursor 165 // assign cursor_on = (pixel_x2[9:3] == cur_x_reg) && (pixel_y2[8:4] == cur_y_reg); 166 167 //RAM Write Enable 168 1 63 assign we = font_we || scroll; 169 170 //Display combinational logic 171 1 2178 always @* 172 begin 173 1 2178 text_rgb = font_rgb; 174 end 175 176 //Console state machine 177 1 3 always @(posedge clk, negedge resetn) 178 if(!resetn) 179 begin 180 1 2 scroll <= 1'b0; 181 1 2 yn <= 5'b00000; 182 1 2 xn <= 7'b0000000; 183 1 2 current_state <= 1'b0; 184 end 185 else 186 begin 187 1 1 scroll <= scroll_next; 188 1 1 yn <= yn_next; 189 1 1 xn <= xn_next; 190 1 1 current_state <= next_state; 191 end 192 193 //Console next state logic 194 1 3 always @* 195 begin 196 1 3 scroll_next = scroll; 197 1 3 xn_next = xn; 198 1 3 yn_next = yn; 199 1 3 next_state = current_state; 200 case(current_state) 201 1'b0: //Waits for a new line and the cursor on the last line of the screen 202 if(new_line && (cur_y_reg == MAX_Y-1)) 203 begin 204 1 ***0*** scroll_next = 1'b1; 205 1 ***0*** next_state = 1'b1; 206 1 ***0*** yn_next = 0; 207 1 ***0*** xn_next = 7'b1111111; //Delayed by one cycle 208 end 209 else 210 1 3 scroll_next = 1'b0; 211 1'b1: //Counts through every tile and refreshes 212 begin 213 if(xn_next == MAX_X) 214 begin 215 1 ***0*** xn_next = 7'b1111111; //Delayed by one cycle 216 1 ***0*** yn_next = yn + 1'b1; 217 if(yn_next == MAX_Y) 218 begin 219 1 ***0*** next_state = 1'b0; 220 1 ***0*** scroll_next = 0; 221 end 222 end 223 else 224 1 ***0*** xn_next = xn + 1'b1; 225 226 227 end 228 endcase 229 end 230 231 232 //RAM Write 233 1 32 assign addr_w = (scroll) ? {yn,xn} : {cur_y_reg, cur_x_reg}; 234 1 1863 assign din = (scroll) ? dout : font_data[6:0]; 235 //RAM Read 236 1 41955 assign addr_r =(scroll) ? {yn+1'b1,xn_next} : {pixel_y[8:4],pixel_x[9:3]}; 237 assign char_addr = dout; 238 239 240 241 endmodule Branch Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Branches 33 21 12 63.63 ================================Branch Details================================ Branch Coverage for file rtl/AHB_VGA/vga_console.sv -- ------------------------------------IF Branch------------------------------------ 120 672750 Count coming in to IF 120 1 3 if(!resetn) 125 1 672747 else Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 153 93 Count coming in to IF 153 1 3 assign cur_x_next = (new_line) ? 2 : 154 1 90 (back_space && cur_x_reg) ? cur_x_reg - 1 : Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 154 93 Count coming in to IF 154 2 ***0*** (back_space && cur_x_reg) ? cur_x_reg - 1 : 155 1 93 (font_we && ~back_space && ~scroll) ? cur_x_reg + 1 : cur_x_reg; Branch totals: 1 hit of 2 branches = 50.00% ------------------------------------IF Branch------------------------------------ 155 92 Count coming in to IF 155 2 59 (font_we && ~back_space && ~scroll) ? cur_x_reg + 1 : cur_x_reg; 155 3 33 (font_we && ~back_space && ~scroll) ? cur_x_reg + 1 : cur_x_reg; Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 157 5 Count coming in to IF 157 1 ***0*** assign cur_y_next = (cur_y_reg == MAX_Y-1) ? cur_y_reg : 158 1 5 ((new_line) ? cur_y_reg + 1 : cur_y_reg ); Branch totals: 1 hit of 2 branches = 50.00% ------------------------------------IF Branch------------------------------------ 158 4 Count coming in to IF 158 2 2 ((new_line) ? cur_y_reg + 1 : cur_y_reg ); 158 3 2 ((new_line) ? cur_y_reg + 1 : cur_y_reg ); Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 161 2177 Count coming in to IF 161 1 1088 assign font_rgb = (font_bit) ? 8'b00011100 : 8'b00000000; //green:black 161 2 1089 assign font_rgb = (font_bit) ? 8'b00011100 : 8'b00000000; //green:black Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 162 2177 Count coming in to IF 162 1 1088 assign font_inv_rgb = (font_bit) ? 8'b0000000 : 8'b00011100; //black:green 162 2 1089 assign font_inv_rgb = (font_bit) ? 8'b0000000 : 8'b00011100; //black:green Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 178 3 Count coming in to IF 178 1 2 if(!resetn) 185 1 1 else Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------CASE Branch------------------------------------ 200 3 Count coming in to CASE 201 1 3 1'b0: //Waits for a new line and the cursor on the last line of the screen 211 1 ***0*** 1'b1: //Counts through every tile and refreshes ***0*** All False Count Branch totals: 1 hit of 3 branches = 33.33% ------------------------------------IF Branch------------------------------------ 202 3 Count coming in to IF 202 1 ***0*** if(new_line && (cur_y_reg == MAX_Y-1)) 209 1 3 else Branch totals: 1 hit of 2 branches = 50.00% ------------------------------------IF Branch------------------------------------ 213 ***0*** Count coming in to IF 213 1 ***0*** if(xn_next == MAX_X) 223 1 ***0*** else Branch totals: 0 hits of 2 branches = 0.00% ------------------------------------IF Branch------------------------------------ 217 ***0*** Count coming in to IF 217 1 ***0*** if(yn_next == MAX_Y) ***0*** All False Count Branch totals: 0 hits of 2 branches = 0.00% ------------------------------------IF Branch------------------------------------ 233 31 Count coming in to IF 233 1 ***0*** assign addr_w = (scroll) ? {yn,xn} : {cur_y_reg, cur_x_reg}; 233 2 31 assign addr_w = (scroll) ? {yn,xn} : {cur_y_reg, cur_x_reg}; Branch totals: 1 hit of 2 branches = 50.00% ------------------------------------IF Branch------------------------------------ 234 1862 Count coming in to IF 234 1 ***0*** assign din = (scroll) ? dout : font_data[6:0]; 234 2 1862 assign din = (scroll) ? dout : font_data[6:0]; Branch totals: 1 hit of 2 branches = 50.00% ------------------------------------IF Branch------------------------------------ 236 41954 Count coming in to IF 236 1 ***0*** assign addr_r =(scroll) ? {yn+1'b1,xn_next} : {pixel_y[8:4],pixel_x[9:3]}; 236 2 41954 assign addr_r =(scroll) ? {yn+1'b1,xn_next} : {pixel_y[8:4],pixel_x[9:3]}; Branch totals: 1 hit of 2 branches = 50.00% Condition Coverage: Enabled Coverage Active Covered Misses % Covered ---------------- ------ ---- ------ --------- FEC Condition Terms 9 1 8 11.11 ================================Condition Details================================ Condition Coverage for file rtl/AHB_VGA/vga_console.sv -- ----------------Focused Condition View------------------- Line 154 Item 1 (back_space && cur_x_reg) Condition totals: 0 of 1 input term covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- (back_space && cur_x_reg) N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 (back_space && cur_x_reg)_0 - Row 2: ***0*** (back_space && cur_x_reg)_1 - ----------------Focused Condition View------------------- Line 155 Item 1 ((font_we && ~back_space) && ~scroll) Condition totals: 1 of 3 input terms covered = 33.33% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- font_we Y back_space N '_1' not hit Hit '_1' scroll N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 font_we_0 - Row 2: 1 font_we_1 (~scroll && ~back_space) Row 3: 1 back_space_0 (~scroll && font_we) Row 4: ***0*** back_space_1 font_we Row 5: 1 scroll_0 (font_we && ~back_space) Row 6: ***0*** scroll_1 (font_we && ~back_space) ----------------Focused Condition View------------------- Line 157 Item 1 (cur_y_reg == (30 - 1)) Condition totals: 0 of 1 input term covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- (cur_y_reg == (30 - 1)) N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 (cur_y_reg == (30 - 1))_0 - Row 2: ***0*** (cur_y_reg == (30 - 1))_1 - ----------------Focused Condition View------------------- Line 202 Item 1 (new_line && (cur_y_reg == (30 - 1))) Condition totals: 0 of 2 input terms covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- new_line N '_1' not hit Hit '_1' (cur_y_reg == (30 - 1)) N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 new_line_0 - Row 2: ***0*** new_line_1 (cur_y_reg == (30 - 1)) Row 3: 1 (cur_y_reg == (30 - 1))_0 new_line Row 4: ***0*** (cur_y_reg == (30 - 1))_1 new_line ----------------Focused Condition View------------------- Line 213 Item 1 (xn_next == 30) Condition totals: 0 of 1 input term covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- (xn_next == 30) N No hits Hit '_0' and '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: ***0*** (xn_next == 30)_0 - Row 2: ***0*** (xn_next == 30)_1 - ----------------Focused Condition View------------------- Line 217 Item 1 (yn_next == 30) Condition totals: 0 of 1 input term covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- (yn_next == 30) N No hits Hit '_0' and '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: ***0*** (yn_next == 30)_0 - Row 2: ***0*** (yn_next == 30)_1 - Expression Coverage: Enabled Coverage Active Covered Misses % Covered ---------------- ------ ---- ------ --------- FEC Expression Terms 9 3 6 33.33 ================================Expression Details================================ Expression Coverage for file rtl/AHB_VGA/vga_console.sv -- ----------------Focused Expression View----------------- Line 144 Item 1 (((din == 13) || (din == 10)) && ~scroll) Expression totals: 0 of 3 input terms covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- (din == 13) N '_1' not hit Hit '_1' (din == 10) N '_1' not hit Hit '_1' scroll N No hits Hit '_0' and '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 (din == 13)_0 ~(din == 10) Row 2: ***0*** (din == 13)_1 ~scroll Row 3: 1 (din == 10)_0 ~(din == 13) Row 4: ***0*** (din == 10)_1 (~scroll && ~(din == 13)) Row 5: ***0*** scroll_0 ((din == 13) || (din == 10)) Row 6: ***0*** scroll_1 ((din == 13) || (din == 10)) ----------------Focused Expression View----------------- Line 147 Item 1 (din == 8) Expression totals: 0 of 1 input term covered = 0.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- (din == 8) N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 (din == 8)_0 - Row 2: ***0*** (din == 8)_1 - ----------------Focused Expression View----------------- Line 150 Item 1 (font_we && ((cur_x_reg == (30 - 1)) || return_key)) Expression totals: 2 of 3 input terms covered = 66.66% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- font_we Y (cur_x_reg == (30 - 1)) Y return_key N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 font_we_0 - Row 2: 1 font_we_1 ((cur_x_reg == (30 - 1)) || return_key) Row 3: 1 (cur_x_reg == (30 - 1))_0 (font_we && ~return_key) Row 4: 1 (cur_x_reg == (30 - 1))_1 font_we Row 5: 1 return_key_0 (font_we && ~(cur_x_reg == (30 - 1))) Row 6: ***0*** return_key_1 (font_we && ~(cur_x_reg == (30 - 1))) ----------------Focused Expression View----------------- Line 168 Item 1 (font_we || scroll) Expression totals: 1 of 2 input terms covered = 50.00% Input Term Covered Reason for no coverage Hint ----------- -------- ----------------------- -------------- font_we Y scroll N '_1' not hit Hit '_1' Rows: Hits FEC Target Non-masking condition(s) --------- --------- -------------------- ------------------------- Row 1: 1 font_we_0 ~scroll Row 2: 1 font_we_1 - Row 3: 1 scroll_0 ~font_we Row 4: ***0*** scroll_1 ~font_we Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 446 308 138 69.05 ================================Toggle Details================================ Toggle Coverage for File rtl/AHB_VGA/vga_console.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- 40 resetn 0 1 50.00 42 pixel_y[9] 0 0 0.00 45 font_data[7] 0 0 0.00 47 text_rgb[7] 0 0 0.00 47 text_rgb[6] 0 0 0.00 47 text_rgb[5] 0 0 0.00 47 text_rgb[1] 0 0 0.00 47 text_rgb[0] 0 0 0.00 48 scroll 0 0 0.00 65 addr_w[9] 0 0 0.00 65 addr_w[8] 0 0 0.00 65 addr_w[7] 0 1 50.00 65 addr_w[6] 0 0 0.00 65 addr_w[5] 0 0 0.00 65 addr_w[11] 0 0 0.00 65 addr_w[10] 0 0 0.00 70 cur_x_reg[6] 0 0 0.00 70 cur_x_reg[5] 0 0 0.00 71 cur_x_next[6] 0 0 0.00 71 cur_x_next[5] 0 0 0.00 72 cur_y_reg[4] 0 0 0.00 72 cur_y_reg[3] 0 0 0.00 72 cur_y_reg[2] 0 0 0.00 72 cur_y_reg[1] 0 0 0.00 72 cur_y_reg[0] 0 1 50.00 73 cur_y_next[4] 0 0 0.00 73 cur_y_next[3] 0 0 0.00 73 cur_y_next[2] 0 0 0.00 73 cur_y_next[1] 0 0 0.00 73 cur_y_next[0] 0 1 50.00 79 pixel_y1[9] 0 0 0.00 80 pixel_y2[9] 0 0 0.00 82 font_rgb[7] 0 0 0.00 82 font_rgb[6] 0 0 0.00 82 font_rgb[5] 0 0 0.00 82 font_rgb[1] 0 0 0.00 82 font_rgb[0] 0 0 0.00 83 font_inv_rgb[7] 0 0 0.00 83 font_inv_rgb[6] 0 0 0.00 83 font_inv_rgb[5] 0 0 0.00 83 font_inv_rgb[1] 0 0 0.00 83 font_inv_rgb[0] 0 0 0.00 85 current_state 0 0 0.00 86 next_state 0 0 0.00 88 return_key 0 0 0.00 92 scroll_next 0 0 0.00 93 yn[4] 0 0 0.00 93 yn[3] 0 0 0.00 93 yn[2] 0 0 0.00 93 yn[1] 0 0 0.00 93 yn[0] 0 0 0.00 94 yn_next[4] 0 0 0.00 94 yn_next[3] 0 0 0.00 94 yn_next[2] 0 0 0.00 94 yn_next[1] 0 0 0.00 94 yn_next[0] 0 0 0.00 95 xn[6] 0 0 0.00 95 xn[5] 0 0 0.00 95 xn[4] 0 0 0.00 95 xn[3] 0 0 0.00 95 xn[2] 0 0 0.00 95 xn[1] 0 0 0.00 95 xn[0] 0 0 0.00 96 xn_next[6] 0 0 0.00 96 xn_next[5] 0 0 0.00 96 xn_next[4] 0 0 0.00 96 xn_next[3] 0 0 0.00 96 xn_next[2] 0 0 0.00 96 xn_next[1] 0 0 0.00 96 xn_next[0] 0 0 0.00 147 back_space 0 0 0.00 Total Node Count = 223 Toggled Node Count = 152 Untoggled Node Count = 71 Toggle Coverage = 69.05% (308 of 446 bins) ================================================================================= === File: rtl/AHB_VGA/vga_image.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 5 5 0 100.00 ================================Statement Details================================ Statement Coverage for file rtl/AHB_VGA/vga_image.sv -- 1 ////////////////////////////////////////////////////////////////////////////////// 2 //END USER LICENCE AGREEMENT // 3 // // 4 //Copyright (c) 2012, ARM All rights reserved. // 5 // // 6 //THIS END USER LICENCE AGREEMENT (LICENCE) IS A LEGAL AGREEMENT BETWEEN // 7 //YOU AND ARM LIMITED ("ARM") FOR THE USE OF THE SOFTWARE EXAMPLE ACCOMPANYING // 8 //THIS LICENCE. ARM IS ONLY WILLING TO LICENSE THE SOFTWARE EXAMPLE TO YOU ON // 9 //CONDITION THAT YOU ACCEPT ALL OF THE TERMS IN THIS LICENCE. BY INSTALLING OR // 10 //OTHERWISE USING OR COPYING THE SOFTWARE EXAMPLE YOU INDICATE THAT YOU AGREE // 11 //TO BE BOUND BY ALL OF THE TERMS OF THIS LICENCE. IF YOU DO NOT AGREE TO THE // 12 //TERMS OF THIS LICENCE, ARM IS UNWILLING TO LICENSE THE SOFTWARE EXAMPLE TO // 13 //YOU AND YOU MAY NOT INSTALL, USE OR COPY THE SOFTWARE EXAMPLE. // 14 // // 15 //ARM hereby grants to you, subject to the terms and conditions of this Licence,// 16 //a non-exclusive, worldwide, non-transferable, copyright licence only to // 17 //redistribute and use in source and binary forms, with or without modification,// 18 //for academic purposes provided the following conditions are met: // 19 //a) Redistributions of source code must retain the above copyright notice, this// 20 //list of conditions and the following disclaimer. // 21 //b) Redistributions in binary form must reproduce the above copyright notice, // 22 //this list of conditions and the following disclaimer in the documentation // 23 //and/or other materials provided with the distribution. // 24 // // 25 //THIS SOFTWARE EXAMPLE IS PROVIDED BY THE COPYRIGHT HOLDER "AS IS" AND ARM // 26 //EXPRESSLY DISCLAIMS ANY AND ALL WARRANTIES, EXPRESS OR IMPLIED, INCLUDING // 27 //WITHOUT LIMITATION WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR // 28 //PURPOSE, WITH RESPECT TO THIS SOFTWARE EXAMPLE. IN NO EVENT SHALL ARM BE LIABLE/ 29 //FOR ANY DIRECT, INDIRECT, INCIDENTAL, PUNITIVE, OR CONSEQUENTIAL DAMAGES OF ANY/ 30 //KIND WHATSOEVER WITH RESPECT TO THE SOFTWARE EXAMPLE. ARM SHALL NOT BE LIABLE // 31 //FOR ANY CLAIMS, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, // 32 //TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE // 33 //EXAMPLE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE EXAMPLE. FOR THE AVOIDANCE/ 34 // OF DOUBT, NO PATENT LICENSES ARE BEING LICENSED UNDER THIS LICENSE AGREEMENT.// 35 ////////////////////////////////////////////////////////////////////////////////// 36 37 38 module vga_image( 39 input wire clk, 40 input wire resetn, 41 input wire [9:0] pixel_x, 42 input wire [9:0] pixel_y, 43 input wire image_we, 44 input wire [7:0] image_data, 45 input wire [15:0] address, 46 output wire [7:0] image_rgb 47 ); 48 49 50 wire [15:0] addr_r; 51 wire [14:0] addr_w; 52 wire [7:0] din; 53 wire [7:0] dout; 54 55 wire [9:0] img_x; 56 wire [9:0] img_y; 57 58 reg [15:0] address_reg; 59 60 //buffer address = bus address -1 , as the first address is used for console 61 1 2 always @(posedge clk) 62 1 2 address_reg <= address-1; 63 64 //Frame buffer 65 dual_port_ram_sync 66 #(.ADDR_WIDTH(15), .DATA_WIDTH(8)) 67 uimage_ram 68 ( .clk(clk), 69 .we(image_we), 70 .addr_a(addr_w), 71 .addr_b(addr_r), 72 .din_a(din), 73 .dout_a(), 74 .dout_b(dout) 75 ); 76 77 1 1 assign addr_w = address_reg[14:0]; 78 assign din = image_data; 79 80 1 335362 assign img_x = pixel_x[9:0]-240; 81 assign img_y = pixel_y[9:0]; 82 83 1 83963 assign addr_r = {1'b0,img_y[8:2], img_x[8:2]}; 84 85 assign image_rgb = dout; 86 87 88 89 endmodule Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 276 107 169 38.76 ================================Toggle Details================================ Toggle Coverage for File rtl/AHB_VGA/vga_image.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- 40 resetn 0 1 50.00 42 pixel_y[9] 0 0 0.00 43 image_we 0 0 0.00 44 image_data[7] 0 0 0.00 44 image_data[6] 0 0 0.00 44 image_data[5] 0 0 0.00 44 image_data[4] 0 0 0.00 44 image_data[3] 0 0 0.00 44 image_data[2] 0 0 0.00 44 image_data[1] 0 0 0.00 44 image_data[0] 0 0 0.00 45 address[9] 0 0 0.00 45 address[8] 0 0 0.00 45 address[7] 0 0 0.00 45 address[6] 0 0 0.00 45 address[5] 0 0 0.00 45 address[4] 0 0 0.00 45 address[3] 0 0 0.00 45 address[2] 0 0 0.00 45 address[1] 0 0 0.00 45 address[15] 0 0 0.00 45 address[14] 0 0 0.00 45 address[13] 0 0 0.00 45 address[12] 0 0 0.00 45 address[11] 0 0 0.00 45 address[10] 0 0 0.00 45 address[0] 0 0 0.00 46 image_rgb[7] 0 0 0.00 46 image_rgb[6] 0 0 0.00 46 image_rgb[5] 0 0 0.00 46 image_rgb[4] 0 0 0.00 46 image_rgb[3] 0 0 0.00 46 image_rgb[2] 0 0 0.00 46 image_rgb[1] 0 0 0.00 46 image_rgb[0] 0 0 0.00 50 addr_r[15] 0 0 0.00 50 addr_r[14] 0 0 0.00 51 addr_w[9] 0 0 0.00 51 addr_w[8] 0 0 0.00 51 addr_w[7] 0 0 0.00 51 addr_w[6] 0 0 0.00 51 addr_w[5] 0 0 0.00 51 addr_w[4] 0 0 0.00 51 addr_w[3] 0 0 0.00 51 addr_w[2] 0 0 0.00 51 addr_w[1] 0 0 0.00 51 addr_w[14] 0 0 0.00 51 addr_w[13] 0 0 0.00 51 addr_w[12] 0 0 0.00 51 addr_w[11] 0 0 0.00 51 addr_w[10] 0 0 0.00 51 addr_w[0] 0 0 0.00 52 din[7] 0 0 0.00 52 din[6] 0 0 0.00 52 din[5] 0 0 0.00 52 din[4] 0 0 0.00 52 din[3] 0 0 0.00 52 din[2] 0 0 0.00 52 din[1] 0 0 0.00 52 din[0] 0 0 0.00 53 dout[7] 0 0 0.00 53 dout[6] 0 0 0.00 53 dout[5] 0 0 0.00 53 dout[4] 0 0 0.00 53 dout[3] 0 0 0.00 53 dout[2] 0 0 0.00 53 dout[1] 0 0 0.00 53 dout[0] 0 0 0.00 56 img_y[9] 0 0 0.00 58 address_reg[9] 0 0 0.00 58 address_reg[8] 0 0 0.00 58 address_reg[7] 0 0 0.00 58 address_reg[6] 0 0 0.00 58 address_reg[5] 0 0 0.00 58 address_reg[4] 0 0 0.00 58 address_reg[3] 0 0 0.00 58 address_reg[2] 0 0 0.00 58 address_reg[1] 0 0 0.00 58 address_reg[15] 0 0 0.00 58 address_reg[14] 0 0 0.00 58 address_reg[13] 0 0 0.00 58 address_reg[12] 0 0 0.00 58 address_reg[11] 0 0 0.00 58 address_reg[10] 0 0 0.00 58 address_reg[0] 0 0 0.00 Total Node Count = 138 Toggled Node Count = 53 Untoggled Node Count = 85 Toggle Coverage = 38.76% (107 of 276 bins) ================================================================================= === File: rtl/AHB_VGA/vga_sync.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 14 14 0 100.00 ================================Statement Details================================ Statement Coverage for file rtl/AHB_VGA/vga_sync.sv -- 1 ////////////////////////////////////////////////////////////////////////////////// 2 //END USER LICENCE AGREEMENT // 3 // // 4 //Copyright (c) 2012, ARM All rights reserved. // 5 // // 6 //THIS END USER LICENCE AGREEMENT ("LICENCE") IS A LEGAL AGREEMENT BETWEEN // 7 //YOU AND ARM LIMITED ("ARM") FOR THE USE OF THE SOFTWARE EXAMPLE ACCOMPANYING // 8 //THIS LICENCE. ARM IS ONLY WILLING TO LICENSE THE SOFTWARE EXAMPLE TO YOU ON // 9 //CONDITION THAT YOU ACCEPT ALL OF THE TERMS IN THIS LICENCE. BY INSTALLING OR // 10 //OTHERWISE USING OR COPYING THE SOFTWARE EXAMPLE YOU INDICATE THAT YOU AGREE // 11 //TO BE BOUND BY ALL OF THE TERMS OF THIS LICENCE. IF YOU DO NOT AGREE TO THE // 12 //TERMS OF THIS LICENCE, ARM IS UNWILLING TO LICENSE THE SOFTWARE EXAMPLE TO // 13 //YOU AND YOU MAY NOT INSTALL, USE OR COPY THE SOFTWARE EXAMPLE. // 14 // // 15 //ARM hereby grants to you, subject to the terms and conditions of this Licence,// 16 //a non-exclusive, worldwide, non-transferable, copyright licence only to // 17 //redistribute and use in source and binary forms, with or without modification,// 18 //for academic purposes provided the following conditions are met: // 19 //a) Redistributions of source code must retain the above copyright notice, this// 20 //list of conditions and the following disclaimer. // 21 //b) Redistributions in binary form must reproduce the above copyright notice, // 22 //this list of conditions and the following disclaimer in the documentation // 23 //and/or other materials provided with the distribution. // 24 // // 25 //THIS SOFTWARE EXAMPLE IS PROVIDED BY THE COPYRIGHT HOLDER "AS IS" AND ARM // 26 //EXPRESSLY DISCLAIMS ANY AND ALL WARRANTIES, EXPRESS OR IMPLIED, INCLUDING // 27 //WITHOUT LIMITATION WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR // 28 //PURPOSE, WITH RESPECT TO THIS SOFTWARE EXAMPLE. IN NO EVENT SHALL ARM BE LIABLE/ 29 //FOR ANY DIRECT, INDIRECT, INCIDENTAL, PUNITIVE, OR CONSEQUENTIAL DAMAGES OF ANY/ 30 //KIND WHATSOEVER WITH RESPECT TO THE SOFTWARE EXAMPLE. ARM SHALL NOT BE LIABLE // 31 //FOR ANY CLAIMS, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, // 32 //TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE // 33 //EXAMPLE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE EXAMPLE. FOR THE AVOIDANCE/ 34 // OF DOUBT, NO PATENT LICENSES ARE BEING LICENSED UNDER THIS LICENSE AGREEMENT.// 35 ////////////////////////////////////////////////////////////////////////////////// 36 37 module VGAInterface( 38 input CLK, 39 input resetn, 40 input [7:0] COLOUR_IN, 41 output reg [7:0] cout, 42 output reg hs, 43 output reg vs, 44 output reg [9:0] addrh, 45 output reg [9:0] addrv 46 ); 47 48 49 // Time in Vertical Lines 50 parameter VertTimeToPulseWidthEnd = 10'd2; 51 parameter VertTimeToBackPorchEnd = 10'd31; 52 parameter VertTimeToDisplayTimeEnd = 10'd511; 53 parameter VertTimeToFrontPorchEnd = 10'd521; 54 55 // Time in Horizontal Lines 56 parameter HorzTimeToPulseWidthEnd = 10'd96; 57 parameter HorzTimeToBackPorchEnd = 10'd144; 58 parameter HorzTimeToDisplayTimeEnd = 10'd784; 59 parameter HorzTimeToFrontPorchEnd = 10'd800; 60 61 wire TrigHOut, TrigDiv; 62 wire [9:0] HorzCount; 63 wire [9:0] VertCount; 64 65 wire reset = ~resetn; 66 67 //Divide the clock frequency 68 GenericCounter #(.COUNTER_WIDTH(1), .COUNTER_MAX(1)) 69 FreqDivider 70 ( 71 .CLK(CLK), 72 .RESET(reset), 73 .ENABLE_IN(1'b1), 74 .TRIG_OUT(TrigDiv) 75 ); 76 77 //Horizontal counter 78 GenericCounter #(.COUNTER_WIDTH(10), .COUNTER_MAX(HorzTimeToFrontPorchEnd)) 79 HorzAddrCounter 80 ( 81 .CLK(CLK), 82 .RESET(reset), 83 .ENABLE_IN(TrigDiv), 84 .TRIG_OUT(TrigHOut), 85 .COUNT(HorzCount) 86 ); 87 88 //Vertical counter 89 GenericCounter #(.COUNTER_WIDTH(10), .COUNTER_MAX(VertTimeToFrontPorchEnd)) 90 VertAddrCounter 91 ( 92 .CLK(CLK), 93 .RESET(reset), 94 .ENABLE_IN(TrigHOut), 95 .COUNT(VertCount) 96 ); 97 98 //Synchronisation signals 99 1 420775 always@(posedge CLK) begin 100 if(HorzCount= HorzTimeToBackPorchEnd ) && (HorzCount < HorzTimeToDisplayTimeEnd) ) && 114 ( (VertCount >= VertTimeToBackPorchEnd ) && (VertCount < VertTimeToDisplayTimeEnd) ) ) 115 1 308371 cout <= COLOUR_IN; 116 else 117 1 113624 cout <= 8'b00000000; 118 end 119 120 //output horizontal and vertical addresses 121 1 755086 always@(posedge CLK)begin 122 if ((HorzCount>HorzTimeToBackPorchEnd)&&(HorzCountVertTimeToBackPorchEnd)&&(VertCount= HorzTimeToBackPorchEnd ) && (HorzCount < HorzTimeToDisplayTimeEnd) ) && 116 1 113624 else Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 122 755086 Count coming in to IF 122 1 669672 if ((HorzCount>HorzTimeToBackPorchEnd)&&(HorzCountVertTimeToBackPorchEnd)&&(VertCount= 144) && (HorzCount < 784)) && (VertCount >= 31)) && (VertCount < 511)) Condition totals: 4 of 4 input terms covered = 100.00% ----------------Focused Condition View------------------- Line 122 Item 1 ((HorzCount > 144) && (HorzCount < 784)) Condition totals: 2 of 2 input terms covered = 100.00% ----------------Focused Condition View------------------- Line 129 Item 1 ((VertCount > 31) && (VertCount < 511)) Condition totals: 2 of 2 input terms covered = 100.00% Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 126 102 24 80.95 ================================Toggle Details================================ Toggle Coverage for File rtl/AHB_VGA/vga_sync.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- 39 resetn 0 1 50.00 40 COLOUR_IN[7] 0 0 0.00 40 COLOUR_IN[6] 0 0 0.00 40 COLOUR_IN[5] 0 0 0.00 40 COLOUR_IN[1] 0 0 0.00 40 COLOUR_IN[0] 0 0 0.00 41 cout[7] 0 0 0.00 41 cout[6] 0 0 0.00 41 cout[5] 0 0 0.00 41 cout[1] 0 0 0.00 41 cout[0] 0 0 0.00 45 addrv[9] 0 0 0.00 65 reset 1 0 50.00 Total Node Count = 63 Toggled Node Count = 50 Untoggled Node Count = 13 Toggle Coverage = 80.95% (102 of 126 bins) ================================================================================= === File: tbench/ahb_vga_font_map.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 2048 496 1552 24.21 ================================Statement Details================================ Statement Coverage for file tbench/ahb_vga_font_map.sv -- 1 package ahb_vga_font_map; 2 3 string font_map [128] = { 4 " ", 5 "☺", 6 "☻", 7 "♥", 8 "◆", 9 "♣", 10 "♠", 11 "·", 12 " ", 13 "○", 14 " ", 15 "♂", 16 "♀", 17 " ", 18 "♬", 19 "☼", 20 "⏵", 21 "⏴", 22 "↕", 23 "‼", 24 "¶", 25 "§", 26 "⏹", 27 "↨", 28 "↑", 29 "↓", 30 "→", 31 "←", 32 "∟", 33 "↔", 34 "▲", 35 "▼", 36 " ", 37 "❢", 38 "\"", 39 "#", 40 "$", 41 "%", 42 "&", 43 "'", 44 "(", 45 ")", 46 "*", 47 "+", 48 ",", 49 "-", 50 ".", 51 "/", 52 "0", 53 "1", 54 "2", 55 "3", 56 "4", 57 "5", 58 "6", 59 "7", 60 "8", 61 "9", 62 ":", 63 ";", 64 "<", 65 "=", 66 ">", 67 "?", 68 "@", 69 "A", 70 "B", 71 "C", 72 "D", 73 "E", 74 "F", 75 "G", 76 "H", 77 "I", 78 "J", 79 "K", 80 "L", 81 "M", 82 "N", 83 "O", 84 "P", 85 "Q", 86 "R", 87 "S", 88 "T", 89 "U", 90 "V", 91 "W", 92 "X", 93 "Y", 94 "Z", 95 "[", 96 "\\", 97 "]", 98 "^", 99 "_", 100 "`", 101 "a", 102 "b", 103 "c", 104 "d", 105 "e", 106 "f", 107 "g", 108 "h", 109 "i", 110 "j", 111 "k", 112 "l", 113 "m", 114 "n", 115 "o", 116 "p", 117 "q", 118 "r", 119 "s", 120 "t", 121 "u", 122 "v", 123 "w", 124 "x", 125 "y", 126 "z", 127 "{", 128 "|", 129 "}", 130 "~", 131 "🏠" 132 }; 133 134 135 function int font_lookup(logic [10:0] addr); 136 case (addr) 137 //code x00 138 1 120 11'h000: return 8'b00000000; // 139 1 120 11'h001: return 8'b00000000; // 140 1 120 11'h002: return 8'b00000000; // 141 1 120 11'h003: return 8'b00000000; // 142 1 120 11'h004: return 8'b00000000; // 143 1 120 11'h005: return 8'b00000000; // 144 1 120 11'h006: return 8'b00000000; // 145 1 120 11'h007: return 8'b00000000; // 146 1 120 11'h008: return 8'b00000000; // 147 1 120 11'h009: return 8'b00000000; // 148 1 120 11'h00a: return 8'b00000000; // 149 1 120 11'h00b: return 8'b00000000; // 150 1 120 11'h00c: return 8'b00000000; // 151 1 120 11'h00d: return 8'b00000000; // 152 1 120 11'h00e: return 8'b00000000; // 153 1 120 11'h00f: return 8'b00000000; // 154 //code x01 155 1 8 11'h010: return 8'b00000000; // 156 1 8 11'h011: return 8'b00000000; // 157 1 8 11'h012: return 8'b01111110; // ****** 158 1 8 11'h013: return 8'b10000001; // * * 159 1 8 11'h014: return 8'b10100101; // * * * * 160 1 8 11'h015: return 8'b10000001; // * * 161 1 8 11'h016: return 8'b10000001; // * * 162 1 8 11'h017: return 8'b10111101; // * **** * 163 1 8 11'h018: return 8'b10011001; // * ** * 164 1 8 11'h019: return 8'b10000001; // * * 165 1 8 11'h01a: return 8'b10000001; // * * 166 1 8 11'h01b: return 8'b01111110; // ****** 167 1 8 11'h01c: return 8'b00000000; // 168 1 8 11'h01d: return 8'b00000000; // 169 1 8 11'h01e: return 8'b00000000; // 170 1 8 11'h01f: return 8'b00000000; // 171 //code x02 172 1 ***0*** 11'h020: return 8'b00000000; // 173 1 ***0*** 11'h021: return 8'b00000000; // 174 1 ***0*** 11'h022: return 8'b01111110; // ****** 175 1 ***0*** 11'h023: return 8'b11111111; // ******** 176 1 ***0*** 11'h024: return 8'b11011011; // ** ** ** 177 1 ***0*** 11'h025: return 8'b11111111; // ******** 178 1 ***0*** 11'h026: return 8'b11111111; // ******** 179 1 ***0*** 11'h027: return 8'b11000011; // ** ** 180 1 ***0*** 11'h028: return 8'b11100111; // *** *** 181 1 ***0*** 11'h029: return 8'b11111111; // ******** 182 1 ***0*** 11'h02a: return 8'b11111111; // ******** 183 1 ***0*** 11'h02b: return 8'b01111110; // ****** 184 1 ***0*** 11'h02c: return 8'b00000000; // 185 1 ***0*** 11'h02d: return 8'b00000000; // 186 1 ***0*** 11'h02e: return 8'b00000000; // 187 1 ***0*** 11'h02f: return 8'b00000000; // 188 //code x03 189 1 ***0*** 11'h030: return 8'b00000000; // 190 1 ***0*** 11'h031: return 8'b00000000; // 191 1 ***0*** 11'h032: return 8'b00000000; // 192 1 ***0*** 11'h033: return 8'b00000000; // 193 1 ***0*** 11'h034: return 8'b01101100; // ** ** 194 1 ***0*** 11'h035: return 8'b11111110; // ******* 195 1 ***0*** 11'h036: return 8'b11111110; // ******* 196 1 ***0*** 11'h037: return 8'b11111110; // ******* 197 1 ***0*** 11'h038: return 8'b11111110; // ******* 198 1 ***0*** 11'h039: return 8'b01111100; // ***** 199 1 ***0*** 11'h03a: return 8'b00111000; // *** 200 1 ***0*** 11'h03b: return 8'b00010000; // * 201 1 ***0*** 11'h03c: return 8'b00000000; // 202 1 ***0*** 11'h03d: return 8'b00000000; // 203 1 ***0*** 11'h03e: return 8'b00000000; // 204 1 ***0*** 11'h03f: return 8'b00000000; // 205 //code x04 206 1 ***0*** 11'h040: return 8'b00000000; // 207 1 ***0*** 11'h041: return 8'b00000000; // 208 1 ***0*** 11'h042: return 8'b00000000; // 209 1 ***0*** 11'h043: return 8'b00000000; // 210 1 ***0*** 11'h044: return 8'b00010000; // * 211 1 ***0*** 11'h045: return 8'b00111000; // *** 212 1 ***0*** 11'h046: return 8'b01111100; // ***** 213 1 ***0*** 11'h047: return 8'b11111110; // ******* 214 1 ***0*** 11'h048: return 8'b01111100; // ***** 215 1 ***0*** 11'h049: return 8'b00111000; // *** 216 1 ***0*** 11'h04a: return 8'b00010000; // * 217 1 ***0*** 11'h04b: return 8'b00000000; // 218 1 ***0*** 11'h04c: return 8'b00000000; // 219 1 ***0*** 11'h04d: return 8'b00000000; // 220 1 ***0*** 11'h04e: return 8'b00000000; // 221 1 ***0*** 11'h04f: return 8'b00000000; // 222 //code x05 223 1 ***0*** 11'h050: return 8'b00000000; // 224 1 ***0*** 11'h051: return 8'b00000000; // 225 1 ***0*** 11'h052: return 8'b00000000; // 226 1 ***0*** 11'h053: return 8'b00011000; // ** 227 1 ***0*** 11'h054: return 8'b00111100; // **** 228 1 ***0*** 11'h055: return 8'b00111100; // **** 229 1 ***0*** 11'h056: return 8'b11100111; // *** *** 230 1 ***0*** 11'h057: return 8'b11100111; // *** *** 231 1 ***0*** 11'h058: return 8'b11100111; // *** *** 232 1 ***0*** 11'h059: return 8'b00011000; // ** 233 1 ***0*** 11'h05a: return 8'b00011000; // ** 234 1 ***0*** 11'h05b: return 8'b00111100; // **** 235 1 ***0*** 11'h05c: return 8'b00000000; // 236 1 ***0*** 11'h05d: return 8'b00000000; // 237 1 ***0*** 11'h05e: return 8'b00000000; // 238 1 ***0*** 11'h05f: return 8'b00000000; // 239 //code x06 240 1 8 11'h060: return 8'b00000000; // 241 1 8 11'h061: return 8'b00000000; // 242 1 8 11'h062: return 8'b00000000; // 243 1 8 11'h063: return 8'b00011000; // ** 244 1 8 11'h064: return 8'b00111100; // **** 245 1 8 11'h065: return 8'b01111110; // ****** 246 1 8 11'h066: return 8'b11111111; // ******** 247 1 8 11'h067: return 8'b11111111; // ******** 248 1 8 11'h068: return 8'b01111110; // ****** 249 1 8 11'h069: return 8'b00011000; // ** 250 1 8 11'h06a: return 8'b00011000; // ** 251 1 8 11'h06b: return 8'b00111100; // **** 252 1 8 11'h06c: return 8'b00000000; // 253 1 8 11'h06d: return 8'b00000000; // 254 1 8 11'h06e: return 8'b00000000; // 255 1 8 11'h06f: return 8'b00000000; // 256 //code x07 257 1 ***0*** 11'h070: return 8'b00000000; // 258 1 ***0*** 11'h071: return 8'b00000000; // 259 1 ***0*** 11'h072: return 8'b00000000; // 260 1 ***0*** 11'h073: return 8'b00000000; // 261 1 ***0*** 11'h074: return 8'b00000000; // 262 1 ***0*** 11'h075: return 8'b00000000; // 263 1 ***0*** 11'h076: return 8'b00011000; // ** 264 1 ***0*** 11'h077: return 8'b00111100; // **** 265 1 ***0*** 11'h078: return 8'b00111100; // **** 266 1 ***0*** 11'h079: return 8'b00011000; // ** 267 1 ***0*** 11'h07a: return 8'b00000000; // 268 1 ***0*** 11'h07b: return 8'b00000000; // 269 1 ***0*** 11'h07c: return 8'b00000000; // 270 1 ***0*** 11'h07d: return 8'b00000000; // 271 1 ***0*** 11'h07e: return 8'b00000000; // 272 1 ***0*** 11'h07f: return 8'b00000000; // 273 //code x08 274 1 ***0*** 11'h080: return 8'b00000000; // 275 1 ***0*** 11'h081: return 8'b00000000; // 276 1 ***0*** 11'h082: return 8'b00000000; // 277 1 ***0*** 11'h083: return 8'b00000000; // 278 1 ***0*** 11'h084: return 8'b00000000; // 279 1 ***0*** 11'h085: return 8'b00000000; // 280 1 ***0*** 11'h086: return 8'b00000000; // 281 1 ***0*** 11'h087: return 8'b00000000; // 282 1 ***0*** 11'h088: return 8'b00000000; // 283 1 ***0*** 11'h089: return 8'b00000000; // 284 1 ***0*** 11'h08a: return 8'b00000000; // 285 1 ***0*** 11'h08b: return 8'b00000000; // 286 1 ***0*** 11'h08c: return 8'b00000000; // 287 1 ***0*** 11'h08d: return 8'b00000000; // 288 1 ***0*** 11'h08e: return 8'b00000000; // 289 1 ***0*** 11'h08f: return 8'b00000000; // 290 //code x09 291 1 ***0*** 11'h090: return 8'b00000000; // 292 1 ***0*** 11'h091: return 8'b00000000; // 293 1 ***0*** 11'h092: return 8'b00000000; // 294 1 ***0*** 11'h093: return 8'b00000000; // 295 1 ***0*** 11'h094: return 8'b00000000; // 296 1 ***0*** 11'h095: return 8'b00111100; // **** 297 1 ***0*** 11'h096: return 8'b01100110; // ** ** 298 1 ***0*** 11'h097: return 8'b01000010; // * * 299 1 ***0*** 11'h098: return 8'b01000010; // * * 300 1 ***0*** 11'h099: return 8'b01100110; // ** ** 301 1 ***0*** 11'h09a: return 8'b00111100; // **** 302 1 ***0*** 11'h09b: return 8'b00000000; // 303 1 ***0*** 11'h09c: return 8'b00000000; // 304 1 ***0*** 11'h09d: return 8'b00000000; // 305 1 ***0*** 11'h09e: return 8'b00000000; // 306 1 ***0*** 11'h09f: return 8'b00000000; // 307 //code x0a 308 1 ***0*** 11'h0a0: return 8'b00000000; // 309 1 ***0*** 11'h0a1: return 8'b00000000; // 310 1 ***0*** 11'h0a2: return 8'b00000000; // 311 1 ***0*** 11'h0a3: return 8'b00000000; // 312 1 ***0*** 11'h0a4: return 8'b00000000; // 313 1 ***0*** 11'h0a5: return 8'b00000000; // 314 1 ***0*** 11'h0a6: return 8'b00000000; // 315 1 ***0*** 11'h0a7: return 8'b00000000; // 316 1 ***0*** 11'h0a8: return 8'b00000000; // 317 1 ***0*** 11'h0a9: return 8'b00000000; // 318 1 ***0*** 11'h0aa: return 8'b00000000; // 319 1 ***0*** 11'h0ab: return 8'b00000000; // 320 1 ***0*** 11'h0ac: return 8'b00000000; // 321 1 ***0*** 11'h0ad: return 8'b00000000; // 322 1 ***0*** 11'h0ae: return 8'b00000000; // 323 1 ***0*** 11'h0af: return 8'b00000000; // 324 //code x0b 325 1 8 11'h0b0: return 8'b00000000; // 326 1 8 11'h0b1: return 8'b00000000; // 327 1 8 11'h0b2: return 8'b00011110; // **** 328 1 8 11'h0b3: return 8'b00001110; // *** 329 1 8 11'h0b4: return 8'b00011010; // ** * 330 1 8 11'h0b5: return 8'b00110010; // ** * 331 1 8 11'h0b6: return 8'b01111000; // **** 332 1 8 11'h0b7: return 8'b11001100; // ** ** 333 1 8 11'h0b8: return 8'b11001100; // ** ** 334 1 8 11'h0b9: return 8'b11001100; // ** ** 335 1 8 11'h0ba: return 8'b11001100; // ** ** 336 1 8 11'h0bb: return 8'b01111000; // **** 337 1 8 11'h0bc: return 8'b00000000; // 338 1 8 11'h0bd: return 8'b00000000; // 339 1 8 11'h0be: return 8'b00000000; // 340 1 8 11'h0bf: return 8'b00000000; // 341 //code x0c 342 1 ***0*** 11'h0c0: return 8'b00000000; // 343 1 ***0*** 11'h0c1: return 8'b00000000; // 344 1 ***0*** 11'h0c2: return 8'b00111100; // **** 345 1 ***0*** 11'h0c3: return 8'b01100110; // ** ** 346 1 ***0*** 11'h0c4: return 8'b01100110; // ** ** 347 1 ***0*** 11'h0c5: return 8'b01100110; // ** ** 348 1 ***0*** 11'h0c6: return 8'b01100110; // ** ** 349 1 ***0*** 11'h0c7: return 8'b00111100; // **** 350 1 ***0*** 11'h0c8: return 8'b00011000; // ** 351 1 ***0*** 11'h0c9: return 8'b01111110; // ****** 352 1 ***0*** 11'h0ca: return 8'b00011000; // ** 353 1 ***0*** 11'h0cb: return 8'b00011000; // ** 354 1 ***0*** 11'h0cc: return 8'b00000000; // 355 1 ***0*** 11'h0cd: return 8'b00000000; // 356 1 ***0*** 11'h0ce: return 8'b00000000; // 357 1 ***0*** 11'h0cf: return 8'b00000000; // 358 //code x0d 359 1 ***0*** 11'h0d0: return 8'b00000000; // 360 1 ***0*** 11'h0d1: return 8'b00000000; // 361 1 ***0*** 11'h0d2: return 8'b00000000; // 362 1 ***0*** 11'h0d3: return 8'b00000000; // 363 1 ***0*** 11'h0d4: return 8'b00000000; // 364 1 ***0*** 11'h0d5: return 8'b00000000; // 365 1 ***0*** 11'h0d6: return 8'b00000000; // 366 1 ***0*** 11'h0d7: return 8'b00000000; // 367 1 ***0*** 11'h0d8: return 8'b00000000; // 368 1 ***0*** 11'h0d9: return 8'b00000000; // 369 1 ***0*** 11'h0da: return 8'b00000000; // 370 1 ***0*** 11'h0db: return 8'b00000000; // 371 1 ***0*** 11'h0dc: return 8'b00000000; // 372 1 ***0*** 11'h0dd: return 8'b00000000; // 373 1 ***0*** 11'h0de: return 8'b00000000; // 374 1 ***0*** 11'h0df: return 8'b00000000; // 375 //code x0e 376 1 8 11'h0e0: return 8'b00000000; // 377 1 8 11'h0e1: return 8'b00000000; // 378 1 8 11'h0e2: return 8'b01111111; // ******* 379 1 8 11'h0e3: return 8'b01100011; // ** ** 380 1 8 11'h0e4: return 8'b01111111; // ******* 381 1 8 11'h0e5: return 8'b01100011; // ** ** 382 1 8 11'h0e6: return 8'b01100011; // ** ** 383 1 8 11'h0e7: return 8'b01100011; // ** ** 384 1 8 11'h0e8: return 8'b01100011; // ** ** 385 1 8 11'h0e9: return 8'b01100111; // ** *** 386 1 8 11'h0ea: return 8'b11100111; // *** *** 387 1 8 11'h0eb: return 8'b11100110; // *** ** 388 1 8 11'h0ec: return 8'b11000000; // ** 389 1 8 11'h0ed: return 8'b00000000; // 390 1 8 11'h0ee: return 8'b00000000; // 391 1 8 11'h0ef: return 8'b00000000; // 392 //code x0f 393 1 ***0*** 11'h0f0: return 8'b00000000; // 394 1 ***0*** 11'h0f1: return 8'b00000000; // 395 1 ***0*** 11'h0f2: return 8'b00000000; // 396 1 ***0*** 11'h0f3: return 8'b00011000; // ** 397 1 ***0*** 11'h0f4: return 8'b00011000; // ** 398 1 ***0*** 11'h0f5: return 8'b11011011; // ** ** ** 399 1 ***0*** 11'h0f6: return 8'b00111100; // **** 400 1 ***0*** 11'h0f7: return 8'b11100111; // *** *** 401 1 ***0*** 11'h0f8: return 8'b00111100; // **** 402 1 ***0*** 11'h0f9: return 8'b11011011; // ** ** ** 403 1 ***0*** 11'h0fa: return 8'b00011000; // ** 404 1 ***0*** 11'h0fb: return 8'b00011000; // ** 405 1 ***0*** 11'h0fc: return 8'b00000000; // 406 1 ***0*** 11'h0fd: return 8'b00000000; // 407 1 ***0*** 11'h0fe: return 8'b00000000; // 408 1 ***0*** 11'h0ff: return 8'b00000000; // 409 //code x10 410 1 ***0*** 11'h100: return 8'b00000000; // 411 1 ***0*** 11'h101: return 8'b10000000; // * 412 1 ***0*** 11'h102: return 8'b11000000; // ** 413 1 ***0*** 11'h103: return 8'b11100000; // *** 414 1 ***0*** 11'h104: return 8'b11110000; // **** 415 1 ***0*** 11'h105: return 8'b11111000; // ***** 416 1 ***0*** 11'h106: return 8'b11111110; // ******* 417 1 ***0*** 11'h107: return 8'b11111000; // ***** 418 1 ***0*** 11'h108: return 8'b11110000; // **** 419 1 ***0*** 11'h109: return 8'b11100000; // *** 420 1 ***0*** 11'h10a: return 8'b11000000; // ** 421 1 ***0*** 11'h10b: return 8'b10000000; // * 422 1 ***0*** 11'h10c: return 8'b00000000; // 423 1 ***0*** 11'h10d: return 8'b00000000; // 424 1 ***0*** 11'h10e: return 8'b00000000; // 425 1 ***0*** 11'h10f: return 8'b00000000; // 426 //code x11 427 1 8 11'h110: return 8'b00000000; // 428 1 8 11'h111: return 8'b00000010; // * 429 1 8 11'h112: return 8'b00000110; // ** 430 1 8 11'h113: return 8'b00001110; // *** 431 1 8 11'h114: return 8'b00011110; // **** 432 1 8 11'h115: return 8'b00111110; // ***** 433 1 8 11'h116: return 8'b11111110; // ******* 434 1 8 11'h117: return 8'b00111110; // ***** 435 1 8 11'h118: return 8'b00011110; // **** 436 1 8 11'h119: return 8'b00001110; // *** 437 1 8 11'h11a: return 8'b00000110; // ** 438 1 8 11'h11b: return 8'b00000010; // * 439 1 8 11'h11c: return 8'b00000000; // 440 1 8 11'h11d: return 8'b00000000; // 441 1 8 11'h11e: return 8'b00000000; // 442 1 8 11'h11f: return 8'b00000000; // 443 //code x12 444 1 ***0*** 11'h120: return 8'b00000000; // 445 1 ***0*** 11'h121: return 8'b00000000; // 446 1 ***0*** 11'h122: return 8'b00011000; // ** 447 1 ***0*** 11'h123: return 8'b00111100; // **** 448 1 ***0*** 11'h124: return 8'b01111110; // ****** 449 1 ***0*** 11'h125: return 8'b00011000; // ** 450 1 ***0*** 11'h126: return 8'b00011000; // ** 451 1 ***0*** 11'h127: return 8'b00011000; // ** 452 1 ***0*** 11'h128: return 8'b01111110; // ****** 453 1 ***0*** 11'h129: return 8'b00111100; // **** 454 1 ***0*** 11'h12a: return 8'b00011000; // ** 455 1 ***0*** 11'h12b: return 8'b00000000; // 456 1 ***0*** 11'h12c: return 8'b00000000; // 457 1 ***0*** 11'h12d: return 8'b00000000; // 458 1 ***0*** 11'h12e: return 8'b00000000; // 459 1 ***0*** 11'h12f: return 8'b00000000; // 460 //code x13 461 1 ***0*** 11'h130: return 8'b00000000; // 462 1 ***0*** 11'h131: return 8'b00000000; // 463 1 ***0*** 11'h132: return 8'b01100110; // ** ** 464 1 ***0*** 11'h133: return 8'b01100110; // ** ** 465 1 ***0*** 11'h134: return 8'b01100110; // ** ** 466 1 ***0*** 11'h135: return 8'b01100110; // ** ** 467 1 ***0*** 11'h136: return 8'b01100110; // ** ** 468 1 ***0*** 11'h137: return 8'b01100110; // ** ** 469 1 ***0*** 11'h138: return 8'b01100110; // ** ** 470 1 ***0*** 11'h139: return 8'b00000000; // 471 1 ***0*** 11'h13a: return 8'b01100110; // ** ** 472 1 ***0*** 11'h13b: return 8'b01100110; // ** ** 473 1 ***0*** 11'h13c: return 8'b00000000; // 474 1 ***0*** 11'h13d: return 8'b00000000; // 475 1 ***0*** 11'h13e: return 8'b00000000; // 476 1 ***0*** 11'h13f: return 8'b00000000; // 477 //code x14 478 1 8 11'h140: return 8'b00000000; // 479 1 8 11'h141: return 8'b00000000; // 480 1 8 11'h142: return 8'b01111111; // ******* 481 1 8 11'h143: return 8'b11011011; // ** ** ** 482 1 8 11'h144: return 8'b11011011; // ** ** ** 483 1 8 11'h145: return 8'b11011011; // ** ** ** 484 1 8 11'h146: return 8'b01111011; // **** ** 485 1 8 11'h147: return 8'b00011011; // ** ** 486 1 8 11'h148: return 8'b00011011; // ** ** 487 1 8 11'h149: return 8'b00011011; // ** ** 488 1 8 11'h14a: return 8'b00011011; // ** ** 489 1 8 11'h14b: return 8'b00011011; // ** ** 490 1 8 11'h14c: return 8'b00000000; // 491 1 8 11'h14d: return 8'b00000000; // 492 1 8 11'h14e: return 8'b00000000; // 493 1 8 11'h14f: return 8'b00000000; // 494 //code x15 495 1 ***0*** 11'h150: return 8'b00000000; // 496 1 ***0*** 11'h151: return 8'b01111100; // ***** 497 1 ***0*** 11'h152: return 8'b11000110; // ** ** 498 1 ***0*** 11'h153: return 8'b01100000; // ** 499 1 ***0*** 11'h154: return 8'b00111000; // *** 500 1 ***0*** 11'h155: return 8'b01101100; // ** ** 501 1 ***0*** 11'h156: return 8'b11000110; // ** ** 502 1 ***0*** 11'h157: return 8'b11000110; // ** ** 503 1 ***0*** 11'h158: return 8'b01101100; // ** ** 504 1 ***0*** 11'h159: return 8'b00111000; // *** 505 1 ***0*** 11'h15a: return 8'b00001100; // ** 506 1 ***0*** 11'h15b: return 8'b11000110; // ** ** 507 1 ***0*** 11'h15c: return 8'b01111100; // ***** 508 1 ***0*** 11'h15d: return 8'b00000000; // 509 1 ***0*** 11'h15e: return 8'b00000000; // 510 1 ***0*** 11'h15f: return 8'b00000000; // 511 //code x16 512 1 ***0*** 11'h160: return 8'b00000000; // 513 1 ***0*** 11'h161: return 8'b00000000; // 514 1 ***0*** 11'h162: return 8'b00000000; // 515 1 ***0*** 11'h163: return 8'b00000000; // 516 1 ***0*** 11'h164: return 8'b00000000; // 517 1 ***0*** 11'h165: return 8'b00000000; // 518 1 ***0*** 11'h166: return 8'b00000000; // 519 1 ***0*** 11'h167: return 8'b00000000; // 520 1 ***0*** 11'h168: return 8'b11111110; // ******* 521 1 ***0*** 11'h169: return 8'b11111110; // ******* 522 1 ***0*** 11'h16a: return 8'b11111110; // ******* 523 1 ***0*** 11'h16b: return 8'b11111110; // ******* 524 1 ***0*** 11'h16c: return 8'b00000000; // 525 1 ***0*** 11'h16d: return 8'b00000000; // 526 1 ***0*** 11'h16e: return 8'b00000000; // 527 1 ***0*** 11'h16f: return 8'b00000000; // 528 //code x17 529 1 ***0*** 11'h170: return 8'b00000000; // 530 1 ***0*** 11'h171: return 8'b00000000; // 531 1 ***0*** 11'h172: return 8'b00011000; // ** 532 1 ***0*** 11'h173: return 8'b00111100; // **** 533 1 ***0*** 11'h174: return 8'b01111110; // ****** 534 1 ***0*** 11'h175: return 8'b00011000; // ** 535 1 ***0*** 11'h176: return 8'b00011000; // ** 536 1 ***0*** 11'h177: return 8'b00011000; // ** 537 1 ***0*** 11'h178: return 8'b01111110; // ****** 538 1 ***0*** 11'h179: return 8'b00111100; // **** 539 1 ***0*** 11'h17a: return 8'b00011000; // ** 540 1 ***0*** 11'h17b: return 8'b01111110; // ****** 541 1 ***0*** 11'h17c: return 8'b00110000; // 542 1 ***0*** 11'h17d: return 8'b00000000; // 543 1 ***0*** 11'h17e: return 8'b00000000; // 544 1 ***0*** 11'h17f: return 8'b00000000; // 545 //code x18 546 1 ***0*** 11'h180: return 8'b00000000; // 547 1 ***0*** 11'h181: return 8'b00000000; // 548 1 ***0*** 11'h182: return 8'b00011000; // ** 549 1 ***0*** 11'h183: return 8'b00111100; // **** 550 1 ***0*** 11'h184: return 8'b01111110; // ****** 551 1 ***0*** 11'h185: return 8'b00011000; // ** 552 1 ***0*** 11'h186: return 8'b00011000; // ** 553 1 ***0*** 11'h187: return 8'b00011000; // ** 554 1 ***0*** 11'h188: return 8'b00011000; // ** 555 1 ***0*** 11'h189: return 8'b00011000; // ** 556 1 ***0*** 11'h18a: return 8'b00011000; // ** 557 1 ***0*** 11'h18b: return 8'b00011000; // ** 558 1 ***0*** 11'h18c: return 8'b00000000; // 559 1 ***0*** 11'h18d: return 8'b00000000; // 560 1 ***0*** 11'h18e: return 8'b00000000; // 561 1 ***0*** 11'h18f: return 8'b00000000; // 562 //code x19 563 1 8 11'h190: return 8'b00000000; // 564 1 8 11'h191: return 8'b00000000; // 565 1 8 11'h192: return 8'b00011000; // ** 566 1 8 11'h193: return 8'b00011000; // ** 567 1 8 11'h194: return 8'b00011000; // ** 568 1 8 11'h195: return 8'b00011000; // ** 569 1 8 11'h196: return 8'b00011000; // ** 570 1 8 11'h197: return 8'b00011000; // ** 571 1 8 11'h198: return 8'b00011000; // ** 572 1 8 11'h199: return 8'b01111110; // ****** 573 1 8 11'h19a: return 8'b00111100; // **** 574 1 8 11'h19b: return 8'b00011000; // ** 575 1 8 11'h19c: return 8'b00000000; // 576 1 8 11'h19d: return 8'b00000000; // 577 1 8 11'h19e: return 8'b00000000; // 578 1 8 11'h19f: return 8'b00000000; // 579 //code x1a 580 1 ***0*** 11'h1a0: return 8'b00000000; // 581 1 ***0*** 11'h1a1: return 8'b00000000; // 582 1 ***0*** 11'h1a2: return 8'b00000000; // 583 1 ***0*** 11'h1a3: return 8'b00000000; // 584 1 ***0*** 11'h1a4: return 8'b00000000; // 585 1 ***0*** 11'h1a5: return 8'b00011000; // ** 586 1 ***0*** 11'h1a6: return 8'b00001100; // ** 587 1 ***0*** 11'h1a7: return 8'b11111110; // ******* 588 1 ***0*** 11'h1a8: return 8'b00001100; // ** 589 1 ***0*** 11'h1a9: return 8'b00011000; // ** 590 1 ***0*** 11'h1aa: return 8'b00000000; // 591 1 ***0*** 11'h1ab: return 8'b00000000; // 592 1 ***0*** 11'h1ac: return 8'b00000000; // 593 1 ***0*** 11'h1ad: return 8'b00000000; // 594 1 ***0*** 11'h1ae: return 8'b00000000; // 595 1 ***0*** 11'h1af: return 8'b00000000; // 596 //code x1b 597 1 ***0*** 11'h1b0: return 8'b00000000; // 598 1 ***0*** 11'h1b1: return 8'b00000000; // 599 1 ***0*** 11'h1b2: return 8'b00000000; // 600 1 ***0*** 11'h1b3: return 8'b00000000; // 601 1 ***0*** 11'h1b4: return 8'b00000000; // 602 1 ***0*** 11'h1b5: return 8'b00110000; // ** 603 1 ***0*** 11'h1b6: return 8'b01100000; // ** 604 1 ***0*** 11'h1b7: return 8'b11111110; // ******* 605 1 ***0*** 11'h1b8: return 8'b01100000; // ** 606 1 ***0*** 11'h1b9: return 8'b00110000; // ** 607 1 ***0*** 11'h1ba: return 8'b00000000; // 608 1 ***0*** 11'h1bb: return 8'b00000000; // 609 1 ***0*** 11'h1bc: return 8'b00000000; // 610 1 ***0*** 11'h1bd: return 8'b00000000; // 611 1 ***0*** 11'h1be: return 8'b00000000; // 612 1 ***0*** 11'h1bf: return 8'b00000000; // 613 //code x1c 614 1 ***0*** 11'h1c0: return 8'b00000000; // 615 1 ***0*** 11'h1c1: return 8'b00000000; // 616 1 ***0*** 11'h1c2: return 8'b00000000; // 617 1 ***0*** 11'h1c3: return 8'b00000000; // 618 1 ***0*** 11'h1c4: return 8'b00000000; // 619 1 ***0*** 11'h1c5: return 8'b00000000; // 620 1 ***0*** 11'h1c6: return 8'b11000000; // ** 621 1 ***0*** 11'h1c7: return 8'b11000000; // ** 622 1 ***0*** 11'h1c8: return 8'b11000000; // ** 623 1 ***0*** 11'h1c9: return 8'b11111110; // ******* 624 1 ***0*** 11'h1ca: return 8'b00000000; // 625 1 ***0*** 11'h1cb: return 8'b00000000; // 626 1 ***0*** 11'h1cc: return 8'b00000000; // 627 1 ***0*** 11'h1cd: return 8'b00000000; // 628 1 ***0*** 11'h1ce: return 8'b00000000; // 629 1 ***0*** 11'h1cf: return 8'b00000000; // 630 //code x1d 631 1 ***0*** 11'h1d0: return 8'b00000000; // 632 1 ***0*** 11'h1d1: return 8'b00000000; // 633 1 ***0*** 11'h1d2: return 8'b00000000; // 634 1 ***0*** 11'h1d3: return 8'b00000000; // 635 1 ***0*** 11'h1d4: return 8'b00000000; // 636 1 ***0*** 11'h1d5: return 8'b00100100; // * * 637 1 ***0*** 11'h1d6: return 8'b01100110; // ** ** 638 1 ***0*** 11'h1d7: return 8'b11111111; // ******** 639 1 ***0*** 11'h1d8: return 8'b01100110; // ** ** 640 1 ***0*** 11'h1d9: return 8'b00100100; // * * 641 1 ***0*** 11'h1da: return 8'b00000000; // 642 1 ***0*** 11'h1db: return 8'b00000000; // 643 1 ***0*** 11'h1dc: return 8'b00000000; // 644 1 ***0*** 11'h1dd: return 8'b00000000; // 645 1 ***0*** 11'h1de: return 8'b00000000; // 646 1 ***0*** 11'h1df: return 8'b00000000; // 647 //code x1e 648 1 8 11'h1e0: return 8'b00000000; // 649 1 8 11'h1e1: return 8'b00000000; // 650 1 8 11'h1e2: return 8'b00000000; // 651 1 8 11'h1e3: return 8'b00000000; // 652 1 8 11'h1e4: return 8'b00010000; // * 653 1 8 11'h1e5: return 8'b00111000; // *** 654 1 8 11'h1e6: return 8'b00111000; // *** 655 1 8 11'h1e7: return 8'b01111100; // ***** 656 1 8 11'h1e8: return 8'b01111100; // ***** 657 1 8 11'h1e9: return 8'b11111110; // ******* 658 1 8 11'h1ea: return 8'b11111110; // ******* 659 1 8 11'h1eb: return 8'b00000000; // 660 1 8 11'h1ec: return 8'b00000000; // 661 1 8 11'h1ed: return 8'b00000000; // 662 1 8 11'h1ee: return 8'b00000000; // 663 1 8 11'h1ef: return 8'b00000000; // 664 //code x1f 665 1 ***0*** 11'h1f0: return 8'b00000000; // 666 1 ***0*** 11'h1f1: return 8'b00000000; // 667 1 ***0*** 11'h1f2: return 8'b00000000; // 668 1 ***0*** 11'h1f3: return 8'b00000000; // 669 1 ***0*** 11'h1f4: return 8'b11111110; // ******* 670 1 ***0*** 11'h1f5: return 8'b11111110; // ******* 671 1 ***0*** 11'h1f6: return 8'b01111100; // ***** 672 1 ***0*** 11'h1f7: return 8'b01111100; // ***** 673 1 ***0*** 11'h1f8: return 8'b00111000; // *** 674 1 ***0*** 11'h1f9: return 8'b00111000; // *** 675 1 ***0*** 11'h1fa: return 8'b00010000; // * 676 1 ***0*** 11'h1fb: return 8'b00000000; // 677 1 ***0*** 11'h1fc: return 8'b00000000; // 678 1 ***0*** 11'h1fd: return 8'b00000000; // 679 1 ***0*** 11'h1fe: return 8'b00000000; // 680 1 ***0*** 11'h1ff: return 8'b00000000; // 681 //code x20 682 1 8 11'h200: return 8'b00000000; // 683 1 8 11'h201: return 8'b00000000; // 684 1 8 11'h202: return 8'b00000000; // 685 1 8 11'h203: return 8'b00000000; // 686 1 8 11'h204: return 8'b00000000; // 687 1 8 11'h205: return 8'b00000000; // 688 1 8 11'h206: return 8'b00000000; // 689 1 8 11'h207: return 8'b00000000; // 690 1 8 11'h208: return 8'b00000000; // 691 1 8 11'h209: return 8'b00000000; // 692 1 8 11'h20a: return 8'b00000000; // 693 1 8 11'h20b: return 8'b00000000; // 694 1 8 11'h20c: return 8'b00000000; // 695 1 8 11'h20d: return 8'b00000000; // 696 1 8 11'h20e: return 8'b00000000; // 697 1 8 11'h20f: return 8'b00000000; // 698 //code x21 699 1 ***0*** 11'h210: return 8'b00000000; // 700 1 ***0*** 11'h211: return 8'b00000000; // 701 1 ***0*** 11'h212: return 8'b00011000; // ** 702 1 ***0*** 11'h213: return 8'b00111100; // **** 703 1 ***0*** 11'h214: return 8'b00111100; // **** 704 1 ***0*** 11'h215: return 8'b00111100; // **** 705 1 ***0*** 11'h216: return 8'b00011000; // ** 706 1 ***0*** 11'h217: return 8'b00011000; // ** 707 1 ***0*** 11'h218: return 8'b00011000; // ** 708 1 ***0*** 11'h219: return 8'b00000000; // 709 1 ***0*** 11'h21a: return 8'b00011000; // ** 710 1 ***0*** 11'h21b: return 8'b00011000; // ** 711 1 ***0*** 11'h21c: return 8'b00000000; // 712 1 ***0*** 11'h21d: return 8'b00000000; // 713 1 ***0*** 11'h21e: return 8'b00000000; // 714 1 ***0*** 11'h21f: return 8'b00000000; // 715 //code x22 716 1 ***0*** 11'h220: return 8'b00000000; // 717 1 ***0*** 11'h221: return 8'b01100110; // ** ** 718 1 ***0*** 11'h222: return 8'b01100110; // ** ** 719 1 ***0*** 11'h223: return 8'b01100110; // ** ** 720 1 ***0*** 11'h224: return 8'b00100100; // * * 721 1 ***0*** 11'h225: return 8'b00000000; // 722 1 ***0*** 11'h226: return 8'b00000000; // 723 1 ***0*** 11'h227: return 8'b00000000; // 724 1 ***0*** 11'h228: return 8'b00000000; // 725 1 ***0*** 11'h229: return 8'b00000000; // 726 1 ***0*** 11'h22a: return 8'b00000000; // 727 1 ***0*** 11'h22b: return 8'b00000000; // 728 1 ***0*** 11'h22c: return 8'b00000000; // 729 1 ***0*** 11'h22d: return 8'b00000000; // 730 1 ***0*** 11'h22e: return 8'b00000000; // 731 1 ***0*** 11'h22f: return 8'b00000000; // 732 //code x23 733 1 ***0*** 11'h230: return 8'b00000000; // 734 1 ***0*** 11'h231: return 8'b00000000; // 735 1 ***0*** 11'h232: return 8'b00000000; // 736 1 ***0*** 11'h233: return 8'b01101100; // ** ** 737 1 ***0*** 11'h234: return 8'b01101100; // ** ** 738 1 ***0*** 11'h235: return 8'b11111110; // ******* 739 1 ***0*** 11'h236: return 8'b01101100; // ** ** 740 1 ***0*** 11'h237: return 8'b01101100; // ** ** 741 1 ***0*** 11'h238: return 8'b01101100; // ** ** 742 1 ***0*** 11'h239: return 8'b11111110; // ******* 743 1 ***0*** 11'h23a: return 8'b01101100; // ** ** 744 1 ***0*** 11'h23b: return 8'b01101100; // ** ** 745 1 ***0*** 11'h23c: return 8'b00000000; // 746 1 ***0*** 11'h23d: return 8'b00000000; // 747 1 ***0*** 11'h23e: return 8'b00000000; // 748 1 ***0*** 11'h23f: return 8'b00000000; // 749 //code x24 750 1 ***0*** 11'h240: return 8'b00011000; // ** 751 1 ***0*** 11'h241: return 8'b00011000; // ** 752 1 ***0*** 11'h242: return 8'b01111100; // ***** 753 1 ***0*** 11'h243: return 8'b11000110; // ** ** 754 1 ***0*** 11'h244: return 8'b11000010; // ** * 755 1 ***0*** 11'h245: return 8'b11000000; // ** 756 1 ***0*** 11'h246: return 8'b01111100; // ***** 757 1 ***0*** 11'h247: return 8'b00000110; // ** 758 1 ***0*** 11'h248: return 8'b00000110; // ** 759 1 ***0*** 11'h249: return 8'b10000110; // * ** 760 1 ***0*** 11'h24a: return 8'b11000110; // ** ** 761 1 ***0*** 11'h24b: return 8'b01111100; // ***** 762 1 ***0*** 11'h24c: return 8'b00011000; // ** 763 1 ***0*** 11'h24d: return 8'b00011000; // ** 764 1 ***0*** 11'h24e: return 8'b00000000; // 765 1 ***0*** 11'h24f: return 8'b00000000; // 766 //code x25 767 1 ***0*** 11'h250: return 8'b00000000; // 768 1 ***0*** 11'h251: return 8'b00000000; // 769 1 ***0*** 11'h252: return 8'b00000000; // 770 1 ***0*** 11'h253: return 8'b00000000; // 771 1 ***0*** 11'h254: return 8'b11000010; // ** * 772 1 ***0*** 11'h255: return 8'b11000110; // ** ** 773 1 ***0*** 11'h256: return 8'b00001100; // ** 774 1 ***0*** 11'h257: return 8'b00011000; // ** 775 1 ***0*** 11'h258: return 8'b00110000; // ** 776 1 ***0*** 11'h259: return 8'b01100000; // ** 777 1 ***0*** 11'h25a: return 8'b11000110; // ** ** 778 1 ***0*** 11'h25b: return 8'b10000110; // * ** 779 1 ***0*** 11'h25c: return 8'b00000000; // 780 1 ***0*** 11'h25d: return 8'b00000000; // 781 1 ***0*** 11'h25e: return 8'b00000000; // 782 1 ***0*** 11'h25f: return 8'b00000000; // 783 //code x26 784 1 ***0*** 11'h260: return 8'b00000000; // 785 1 ***0*** 11'h261: return 8'b00000000; // 786 1 ***0*** 11'h262: return 8'b00111000; // *** 787 1 ***0*** 11'h263: return 8'b01101100; // ** ** 788 1 ***0*** 11'h264: return 8'b01101100; // ** ** 789 1 ***0*** 11'h265: return 8'b00111000; // *** 790 1 ***0*** 11'h266: return 8'b01110110; // *** ** 791 1 ***0*** 11'h267: return 8'b11011100; // ** *** 792 1 ***0*** 11'h268: return 8'b11001100; // ** ** 793 1 ***0*** 11'h269: return 8'b11001100; // ** ** 794 1 ***0*** 11'h26a: return 8'b11001100; // ** ** 795 1 ***0*** 11'h26b: return 8'b01110110; // *** ** 796 1 ***0*** 11'h26c: return 8'b00000000; // 797 1 ***0*** 11'h26d: return 8'b00000000; // 798 1 ***0*** 11'h26e: return 8'b00000000; // 799 1 ***0*** 11'h26f: return 8'b00000000; // 800 //code x27 801 1 8 11'h270: return 8'b00000000; // 802 1 8 11'h271: return 8'b00110000; // ** 803 1 8 11'h272: return 8'b00110000; // ** 804 1 8 11'h273: return 8'b00110000; // ** 805 1 8 11'h274: return 8'b01100000; // ** 806 1 8 11'h275: return 8'b00000000; // 807 1 8 11'h276: return 8'b00000000; // 808 1 8 11'h277: return 8'b00000000; // 809 1 8 11'h278: return 8'b00000000; // 810 1 8 11'h279: return 8'b00000000; // 811 1 8 11'h27a: return 8'b00000000; // 812 1 8 11'h27b: return 8'b00000000; // 813 1 8 11'h27c: return 8'b00000000; // 814 1 8 11'h27d: return 8'b00000000; // 815 1 8 11'h27e: return 8'b00000000; // 816 1 8 11'h27f: return 8'b00000000; // 817 //code x28 818 1 ***0*** 11'h280: return 8'b00000000; // 819 1 ***0*** 11'h281: return 8'b00000000; // 820 1 ***0*** 11'h282: return 8'b00001100; // ** 821 1 ***0*** 11'h283: return 8'b00011000; // ** 822 1 ***0*** 11'h284: return 8'b00110000; // ** 823 1 ***0*** 11'h285: return 8'b00110000; // ** 824 1 ***0*** 11'h286: return 8'b00110000; // ** 825 1 ***0*** 11'h287: return 8'b00110000; // ** 826 1 ***0*** 11'h288: return 8'b00110000; // ** 827 1 ***0*** 11'h289: return 8'b00110000; // ** 828 1 ***0*** 11'h28a: return 8'b00011000; // ** 829 1 ***0*** 11'h28b: return 8'b00001100; // ** 830 1 ***0*** 11'h28c: return 8'b00000000; // 831 1 ***0*** 11'h28d: return 8'b00000000; // 832 1 ***0*** 11'h28e: return 8'b00000000; // 833 1 ***0*** 11'h28f: return 8'b00000000; // 834 //code x29 835 1 ***0*** 11'h290: return 8'b00000000; // 836 1 ***0*** 11'h291: return 8'b00000000; // 837 1 ***0*** 11'h292: return 8'b00110000; // ** 838 1 ***0*** 11'h293: return 8'b00011000; // ** 839 1 ***0*** 11'h294: return 8'b00001100; // ** 840 1 ***0*** 11'h295: return 8'b00001100; // ** 841 1 ***0*** 11'h296: return 8'b00001100; // ** 842 1 ***0*** 11'h297: return 8'b00001100; // ** 843 1 ***0*** 11'h298: return 8'b00001100; // ** 844 1 ***0*** 11'h299: return 8'b00001100; // ** 845 1 ***0*** 11'h29a: return 8'b00011000; // ** 846 1 ***0*** 11'h29b: return 8'b00110000; // ** 847 1 ***0*** 11'h29c: return 8'b00000000; // 848 1 ***0*** 11'h29d: return 8'b00000000; // 849 1 ***0*** 11'h29e: return 8'b00000000; // 850 1 ***0*** 11'h29f: return 8'b00000000; // 851 //code x2a 852 1 8 11'h2a0: return 8'b00000000; // 853 1 8 11'h2a1: return 8'b00000000; // 854 1 8 11'h2a2: return 8'b00000000; // 855 1 8 11'h2a3: return 8'b00000000; // 856 1 8 11'h2a4: return 8'b00000000; // 857 1 8 11'h2a5: return 8'b01100110; // ** ** 858 1 8 11'h2a6: return 8'b00111100; // **** 859 1 8 11'h2a7: return 8'b11111111; // ******** 860 1 8 11'h2a8: return 8'b00111100; // **** 861 1 8 11'h2a9: return 8'b01100110; // ** ** 862 1 8 11'h2aa: return 8'b00000000; // 863 1 8 11'h2ab: return 8'b00000000; // 864 1 8 11'h2ac: return 8'b00000000; // 865 1 8 11'h2ad: return 8'b00000000; // 866 1 8 11'h2ae: return 8'b00000000; // 867 1 8 11'h2af: return 8'b00000000; // 868 //code x2b 869 1 ***0*** 11'h2b0: return 8'b00000000; // 870 1 ***0*** 11'h2b1: return 8'b00000000; // 871 1 ***0*** 11'h2b2: return 8'b00000000; // 872 1 ***0*** 11'h2b3: return 8'b00000000; // 873 1 ***0*** 11'h2b4: return 8'b00000000; // 874 1 ***0*** 11'h2b5: return 8'b00011000; // ** 875 1 ***0*** 11'h2b6: return 8'b00011000; // ** 876 1 ***0*** 11'h2b7: return 8'b01111110; // ****** 877 1 ***0*** 11'h2b8: return 8'b00011000; // ** 878 1 ***0*** 11'h2b9: return 8'b00011000; // ** 879 1 ***0*** 11'h2ba: return 8'b00000000; // 880 1 ***0*** 11'h2bb: return 8'b00000000; // 881 1 ***0*** 11'h2bc: return 8'b00000000; // 882 1 ***0*** 11'h2bd: return 8'b00000000; // 883 1 ***0*** 11'h2be: return 8'b00000000; // 884 1 ***0*** 11'h2bf: return 8'b00000000; // 885 //code x2c 886 1 ***0*** 11'h2c0: return 8'b00000000; // 887 1 ***0*** 11'h2c1: return 8'b00000000; // 888 1 ***0*** 11'h2c2: return 8'b00000000; // 889 1 ***0*** 11'h2c3: return 8'b00000000; // 890 1 ***0*** 11'h2c4: return 8'b00000000; // 891 1 ***0*** 11'h2c5: return 8'b00000000; // 892 1 ***0*** 11'h2c6: return 8'b00000000; // 893 1 ***0*** 11'h2c7: return 8'b00000000; // 894 1 ***0*** 11'h2c8: return 8'b00000000; // 895 1 ***0*** 11'h2c9: return 8'b00011000; // ** 896 1 ***0*** 11'h2ca: return 8'b00011000; // ** 897 1 ***0*** 11'h2cb: return 8'b00011000; // ** 898 1 ***0*** 11'h2cc: return 8'b00110000; // ** 899 1 ***0*** 11'h2cd: return 8'b00000000; // 900 1 ***0*** 11'h2ce: return 8'b00000000; // 901 1 ***0*** 11'h2cf: return 8'b00000000; // 902 //code x2d 903 1 ***0*** 11'h2d0: return 8'b00000000; // 904 1 ***0*** 11'h2d1: return 8'b00000000; // 905 1 ***0*** 11'h2d2: return 8'b00000000; // 906 1 ***0*** 11'h2d3: return 8'b00000000; // 907 1 ***0*** 11'h2d4: return 8'b00000000; // 908 1 ***0*** 11'h2d5: return 8'b00000000; // 909 1 ***0*** 11'h2d6: return 8'b00000000; // 910 1 ***0*** 11'h2d7: return 8'b01111110; // ****** 911 1 ***0*** 11'h2d8: return 8'b00000000; // 912 1 ***0*** 11'h2d9: return 8'b00000000; // 913 1 ***0*** 11'h2da: return 8'b00000000; // 914 1 ***0*** 11'h2db: return 8'b00000000; // 915 1 ***0*** 11'h2dc: return 8'b00000000; // 916 1 ***0*** 11'h2dd: return 8'b00000000; // 917 1 ***0*** 11'h2de: return 8'b00000000; // 918 1 ***0*** 11'h2df: return 8'b00000000; // 919 //code x2e 920 1 ***0*** 11'h2e0: return 8'b00000000; // 921 1 ***0*** 11'h2e1: return 8'b00000000; // 922 1 ***0*** 11'h2e2: return 8'b00000000; // 923 1 ***0*** 11'h2e3: return 8'b00000000; // 924 1 ***0*** 11'h2e4: return 8'b00000000; // 925 1 ***0*** 11'h2e5: return 8'b00000000; // 926 1 ***0*** 11'h2e6: return 8'b00000000; // 927 1 ***0*** 11'h2e7: return 8'b00000000; // 928 1 ***0*** 11'h2e8: return 8'b00000000; // 929 1 ***0*** 11'h2e9: return 8'b00000000; // 930 1 ***0*** 11'h2ea: return 8'b00011000; // ** 931 1 ***0*** 11'h2eb: return 8'b00011000; // ** 932 1 ***0*** 11'h2ec: return 8'b00000000; // 933 1 ***0*** 11'h2ed: return 8'b00000000; // 934 1 ***0*** 11'h2ee: return 8'b00000000; // 935 1 ***0*** 11'h2ef: return 8'b00000000; // 936 //code x2f 937 1 8 11'h2f0: return 8'b00000000; // 938 1 8 11'h2f1: return 8'b00000000; // 939 1 8 11'h2f2: return 8'b00000000; // 940 1 8 11'h2f3: return 8'b00000000; // 941 1 8 11'h2f4: return 8'b00000010; // * 942 1 8 11'h2f5: return 8'b00000110; // ** 943 1 8 11'h2f6: return 8'b00001100; // ** 944 1 8 11'h2f7: return 8'b00011000; // ** 945 1 8 11'h2f8: return 8'b00110000; // ** 946 1 8 11'h2f9: return 8'b01100000; // ** 947 1 8 11'h2fa: return 8'b11000000; // ** 948 1 8 11'h2fb: return 8'b10000000; // * 949 1 8 11'h2fc: return 8'b00000000; // 950 1 8 11'h2fd: return 8'b00000000; // 951 1 8 11'h2fe: return 8'b00000000; // 952 1 8 11'h2ff: return 8'b00000000; // 953 //code x30 954 1 8 11'h300: return 8'b00000000; // 955 1 8 11'h301: return 8'b00000000; // 956 1 8 11'h302: return 8'b01111100; // ***** 957 1 8 11'h303: return 8'b11000110; // ** ** 958 1 8 11'h304: return 8'b11000110; // ** ** 959 1 8 11'h305: return 8'b11001110; // ** *** 960 1 8 11'h306: return 8'b11011110; // ** **** 961 1 8 11'h307: return 8'b11110110; // **** ** 962 1 8 11'h308: return 8'b11100110; // *** ** 963 1 8 11'h309: return 8'b11000110; // ** ** 964 1 8 11'h30a: return 8'b11000110; // ** ** 965 1 8 11'h30b: return 8'b01111100; // ***** 966 1 8 11'h30c: return 8'b00000000; // 967 1 8 11'h30d: return 8'b00000000; // 968 1 8 11'h30e: return 8'b00000000; // 969 1 8 11'h30f: return 8'b00000000; // 970 //code x31 971 1 ***0*** 11'h310: return 8'b00000000; // 972 1 ***0*** 11'h311: return 8'b00000000; // 973 1 ***0*** 11'h312: return 8'b00011000; // 974 1 ***0*** 11'h313: return 8'b00111000; // 975 1 ***0*** 11'h314: return 8'b01111000; // ** 976 1 ***0*** 11'h315: return 8'b00011000; // *** 977 1 ***0*** 11'h316: return 8'b00011000; // **** 978 1 ***0*** 11'h317: return 8'b00011000; // ** 979 1 ***0*** 11'h318: return 8'b00011000; // ** 980 1 ***0*** 11'h319: return 8'b00011000; // ** 981 1 ***0*** 11'h31a: return 8'b00011000; // ** 982 1 ***0*** 11'h31b: return 8'b01111110; // ** 983 1 ***0*** 11'h31c: return 8'b00000000; // ** 984 1 ***0*** 11'h31d: return 8'b00000000; // ****** 985 1 ***0*** 11'h31e: return 8'b00000000; // 986 1 ***0*** 11'h31f: return 8'b00000000; // 987 //code x32 988 1 ***0*** 11'h320: return 8'b00000000; // 989 1 ***0*** 11'h321: return 8'b00000000; // 990 1 ***0*** 11'h322: return 8'b01111100; // ***** 991 1 ***0*** 11'h323: return 8'b11000110; // ** ** 992 1 ***0*** 11'h324: return 8'b00000110; // ** 993 1 ***0*** 11'h325: return 8'b00001100; // ** 994 1 ***0*** 11'h326: return 8'b00011000; // ** 995 1 ***0*** 11'h327: return 8'b00110000; // ** 996 1 ***0*** 11'h328: return 8'b01100000; // ** 997 1 ***0*** 11'h329: return 8'b11000000; // ** 998 1 ***0*** 11'h32a: return 8'b11000110; // ** ** 999 1 ***0*** 11'h32b: return 8'b11111110; // ******* 1000 1 ***0*** 11'h32c: return 8'b00000000; // 1001 1 ***0*** 11'h32d: return 8'b00000000; // 1002 1 ***0*** 11'h32e: return 8'b00000000; // 1003 1 ***0*** 11'h32f: return 8'b00000000; // 1004 //code x33 1005 1 ***0*** 11'h330: return 8'b00000000; // 1006 1 ***0*** 11'h331: return 8'b00000000; // 1007 1 ***0*** 11'h332: return 8'b01111100; // ***** 1008 1 ***0*** 11'h333: return 8'b11000110; // ** ** 1009 1 ***0*** 11'h334: return 8'b00000110; // ** 1010 1 ***0*** 11'h335: return 8'b00000110; // ** 1011 1 ***0*** 11'h336: return 8'b00111100; // **** 1012 1 ***0*** 11'h337: return 8'b00000110; // ** 1013 1 ***0*** 11'h338: return 8'b00000110; // ** 1014 1 ***0*** 11'h339: return 8'b00000110; // ** 1015 1 ***0*** 11'h33a: return 8'b11000110; // ** ** 1016 1 ***0*** 11'h33b: return 8'b01111100; // ***** 1017 1 ***0*** 11'h33c: return 8'b00000000; // 1018 1 ***0*** 11'h33d: return 8'b00000000; // 1019 1 ***0*** 11'h33e: return 8'b00000000; // 1020 1 ***0*** 11'h33f: return 8'b00000000; // 1021 //code x34 1022 1 ***0*** 11'h340: return 8'b00000000; // 1023 1 ***0*** 11'h341: return 8'b00000000; // 1024 1 ***0*** 11'h342: return 8'b00001100; // ** 1025 1 ***0*** 11'h343: return 8'b00011100; // *** 1026 1 ***0*** 11'h344: return 8'b00111100; // **** 1027 1 ***0*** 11'h345: return 8'b01101100; // ** ** 1028 1 ***0*** 11'h346: return 8'b11001100; // ** ** 1029 1 ***0*** 11'h347: return 8'b11111110; // ******* 1030 1 ***0*** 11'h348: return 8'b00001100; // ** 1031 1 ***0*** 11'h349: return 8'b00001100; // ** 1032 1 ***0*** 11'h34a: return 8'b00001100; // ** 1033 1 ***0*** 11'h34b: return 8'b00011110; // **** 1034 1 ***0*** 11'h34c: return 8'b00000000; // 1035 1 ***0*** 11'h34d: return 8'b00000000; // 1036 1 ***0*** 11'h34e: return 8'b00000000; // 1037 1 ***0*** 11'h34f: return 8'b00000000; // 1038 //code x35 1039 1 8 11'h350: return 8'b00000000; // 1040 1 8 11'h351: return 8'b00000000; // 1041 1 8 11'h352: return 8'b11111110; // ******* 1042 1 8 11'h353: return 8'b11000000; // ** 1043 1 8 11'h354: return 8'b11000000; // ** 1044 1 8 11'h355: return 8'b11000000; // ** 1045 1 8 11'h356: return 8'b11111100; // ****** 1046 1 8 11'h357: return 8'b00000110; // ** 1047 1 8 11'h358: return 8'b00000110; // ** 1048 1 8 11'h359: return 8'b00000110; // ** 1049 1 8 11'h35a: return 8'b11000110; // ** ** 1050 1 8 11'h35b: return 8'b01111100; // ***** 1051 1 8 11'h35c: return 8'b00000000; // 1052 1 8 11'h35d: return 8'b00000000; // 1053 1 8 11'h35e: return 8'b00000000; // 1054 1 8 11'h35f: return 8'b00000000; // 1055 //code x36 1056 1 ***0*** 11'h360: return 8'b00000000; // 1057 1 ***0*** 11'h361: return 8'b00000000; // 1058 1 ***0*** 11'h362: return 8'b00111000; // *** 1059 1 ***0*** 11'h363: return 8'b01100000; // ** 1060 1 ***0*** 11'h364: return 8'b11000000; // ** 1061 1 ***0*** 11'h365: return 8'b11000000; // ** 1062 1 ***0*** 11'h366: return 8'b11111100; // ****** 1063 1 ***0*** 11'h367: return 8'b11000110; // ** ** 1064 1 ***0*** 11'h368: return 8'b11000110; // ** ** 1065 1 ***0*** 11'h369: return 8'b11000110; // ** ** 1066 1 ***0*** 11'h36a: return 8'b11000110; // ** ** 1067 1 ***0*** 11'h36b: return 8'b01111100; // ***** 1068 1 ***0*** 11'h36c: return 8'b00000000; // 1069 1 ***0*** 11'h36d: return 8'b00000000; // 1070 1 ***0*** 11'h36e: return 8'b00000000; // 1071 1 ***0*** 11'h36f: return 8'b00000000; // 1072 //code x37 1073 1 ***0*** 11'h370: return 8'b00000000; // 1074 1 ***0*** 11'h371: return 8'b00000000; // 1075 1 ***0*** 11'h372: return 8'b11111110; // ******* 1076 1 ***0*** 11'h373: return 8'b11000110; // ** ** 1077 1 ***0*** 11'h374: return 8'b00000110; // ** 1078 1 ***0*** 11'h375: return 8'b00000110; // ** 1079 1 ***0*** 11'h376: return 8'b00001100; // ** 1080 1 ***0*** 11'h377: return 8'b00011000; // ** 1081 1 ***0*** 11'h378: return 8'b00110000; // ** 1082 1 ***0*** 11'h379: return 8'b00110000; // ** 1083 1 ***0*** 11'h37a: return 8'b00110000; // ** 1084 1 ***0*** 11'h37b: return 8'b00110000; // ** 1085 1 ***0*** 11'h37c: return 8'b00000000; // 1086 1 ***0*** 11'h37d: return 8'b00000000; // 1087 1 ***0*** 11'h37e: return 8'b00000000; // 1088 1 ***0*** 11'h37f: return 8'b00000000; // 1089 //code x38 1090 1 ***0*** 11'h380: return 8'b00000000; // 1091 1 ***0*** 11'h381: return 8'b00000000; // 1092 1 ***0*** 11'h382: return 8'b01111100; // ***** 1093 1 ***0*** 11'h383: return 8'b11000110; // ** ** 1094 1 ***0*** 11'h384: return 8'b11000110; // ** ** 1095 1 ***0*** 11'h385: return 8'b11000110; // ** ** 1096 1 ***0*** 11'h386: return 8'b01111100; // ***** 1097 1 ***0*** 11'h387: return 8'b11000110; // ** ** 1098 1 ***0*** 11'h388: return 8'b11000110; // ** ** 1099 1 ***0*** 11'h389: return 8'b11000110; // ** ** 1100 1 ***0*** 11'h38a: return 8'b11000110; // ** ** 1101 1 ***0*** 11'h38b: return 8'b01111100; // ***** 1102 1 ***0*** 11'h38c: return 8'b00000000; // 1103 1 ***0*** 11'h38d: return 8'b00000000; // 1104 1 ***0*** 11'h38e: return 8'b00000000; // 1105 1 ***0*** 11'h38f: return 8'b00000000; // 1106 //code x39 1107 1 ***0*** 11'h390: return 8'b00000000; // 1108 1 ***0*** 11'h391: return 8'b00000000; // 1109 1 ***0*** 11'h392: return 8'b01111100; // ***** 1110 1 ***0*** 11'h393: return 8'b11000110; // ** ** 1111 1 ***0*** 11'h394: return 8'b11000110; // ** ** 1112 1 ***0*** 11'h395: return 8'b11000110; // ** ** 1113 1 ***0*** 11'h396: return 8'b01111110; // ****** 1114 1 ***0*** 11'h397: return 8'b00000110; // ** 1115 1 ***0*** 11'h398: return 8'b00000110; // ** 1116 1 ***0*** 11'h399: return 8'b00000110; // ** 1117 1 ***0*** 11'h39a: return 8'b00001100; // ** 1118 1 ***0*** 11'h39b: return 8'b01111000; // **** 1119 1 ***0*** 11'h39c: return 8'b00000000; // 1120 1 ***0*** 11'h39d: return 8'b00000000; // 1121 1 ***0*** 11'h39e: return 8'b00000000; // 1122 1 ***0*** 11'h39f: return 8'b00000000; // 1123 //code x3a 1124 1 8 11'h3a0: return 8'b00000000; // 1125 1 8 11'h3a1: return 8'b00000000; // 1126 1 8 11'h3a2: return 8'b00000000; // 1127 1 8 11'h3a3: return 8'b00000000; // 1128 1 8 11'h3a4: return 8'b00011000; // ** 1129 1 8 11'h3a5: return 8'b00011000; // ** 1130 1 8 11'h3a6: return 8'b00000000; // 1131 1 8 11'h3a7: return 8'b00000000; // 1132 1 8 11'h3a8: return 8'b00000000; // 1133 1 8 11'h3a9: return 8'b00011000; // ** 1134 1 8 11'h3aa: return 8'b00011000; // ** 1135 1 8 11'h3ab: return 8'b00000000; // 1136 1 8 11'h3ac: return 8'b00000000; // 1137 1 8 11'h3ad: return 8'b00000000; // 1138 1 8 11'h3ae: return 8'b00000000; // 1139 1 8 11'h3af: return 8'b00000000; // 1140 //code x3b 1141 1 ***0*** 11'h3b0: return 8'b00000000; // 1142 1 ***0*** 11'h3b1: return 8'b00000000; // 1143 1 ***0*** 11'h3b2: return 8'b00000000; // 1144 1 ***0*** 11'h3b3: return 8'b00000000; // 1145 1 ***0*** 11'h3b4: return 8'b00011000; // ** 1146 1 ***0*** 11'h3b5: return 8'b00011000; // ** 1147 1 ***0*** 11'h3b6: return 8'b00000000; // 1148 1 ***0*** 11'h3b7: return 8'b00000000; // 1149 1 ***0*** 11'h3b8: return 8'b00000000; // 1150 1 ***0*** 11'h3b9: return 8'b00011000; // ** 1151 1 ***0*** 11'h3ba: return 8'b00011000; // ** 1152 1 ***0*** 11'h3bb: return 8'b00110000; // ** 1153 1 ***0*** 11'h3bc: return 8'b00000000; // 1154 1 ***0*** 11'h3bd: return 8'b00000000; // 1155 1 ***0*** 11'h3be: return 8'b00000000; // 1156 1 ***0*** 11'h3bf: return 8'b00000000; // 1157 //code x3c 1158 1 ***0*** 11'h3c0: return 8'b00000000; // 1159 1 ***0*** 11'h3c1: return 8'b00000000; // 1160 1 ***0*** 11'h3c2: return 8'b00000000; // 1161 1 ***0*** 11'h3c3: return 8'b00000110; // ** 1162 1 ***0*** 11'h3c4: return 8'b00001100; // ** 1163 1 ***0*** 11'h3c5: return 8'b00011000; // ** 1164 1 ***0*** 11'h3c6: return 8'b00110000; // ** 1165 1 ***0*** 11'h3c7: return 8'b01100000; // ** 1166 1 ***0*** 11'h3c8: return 8'b00110000; // ** 1167 1 ***0*** 11'h3c9: return 8'b00011000; // ** 1168 1 ***0*** 11'h3ca: return 8'b00001100; // ** 1169 1 ***0*** 11'h3cb: return 8'b00000110; // ** 1170 1 ***0*** 11'h3cc: return 8'b00000000; // 1171 1 ***0*** 11'h3cd: return 8'b00000000; // 1172 1 ***0*** 11'h3ce: return 8'b00000000; // 1173 1 ***0*** 11'h3cf: return 8'b00000000; // 1174 //code x3d 1175 1 ***0*** 11'h3d0: return 8'b00000000; // 1176 1 ***0*** 11'h3d1: return 8'b00000000; // 1177 1 ***0*** 11'h3d2: return 8'b00000000; // 1178 1 ***0*** 11'h3d3: return 8'b00000000; // 1179 1 ***0*** 11'h3d4: return 8'b00000000; // 1180 1 ***0*** 11'h3d5: return 8'b01111110; // ****** 1181 1 ***0*** 11'h3d6: return 8'b00000000; // 1182 1 ***0*** 11'h3d7: return 8'b00000000; // 1183 1 ***0*** 11'h3d8: return 8'b01111110; // ****** 1184 1 ***0*** 11'h3d9: return 8'b00000000; // 1185 1 ***0*** 11'h3da: return 8'b00000000; // 1186 1 ***0*** 11'h3db: return 8'b00000000; // 1187 1 ***0*** 11'h3dc: return 8'b00000000; // 1188 1 ***0*** 11'h3dd: return 8'b00000000; // 1189 1 ***0*** 11'h3de: return 8'b00000000; // 1190 1 ***0*** 11'h3df: return 8'b00000000; // 1191 //code x3e 1192 1 ***0*** 11'h3e0: return 8'b00000000; // 1193 1 ***0*** 11'h3e1: return 8'b00000000; // 1194 1 ***0*** 11'h3e2: return 8'b00000000; // 1195 1 ***0*** 11'h3e3: return 8'b01100000; // ** 1196 1 ***0*** 11'h3e4: return 8'b00110000; // ** 1197 1 ***0*** 11'h3e5: return 8'b00011000; // ** 1198 1 ***0*** 11'h3e6: return 8'b00001100; // ** 1199 1 ***0*** 11'h3e7: return 8'b00000110; // ** 1200 1 ***0*** 11'h3e8: return 8'b00001100; // ** 1201 1 ***0*** 11'h3e9: return 8'b00011000; // ** 1202 1 ***0*** 11'h3ea: return 8'b00110000; // ** 1203 1 ***0*** 11'h3eb: return 8'b01100000; // ** 1204 1 ***0*** 11'h3ec: return 8'b00000000; // 1205 1 ***0*** 11'h3ed: return 8'b00000000; // 1206 1 ***0*** 11'h3ee: return 8'b00000000; // 1207 1 ***0*** 11'h3ef: return 8'b00000000; // 1208 //code x3f 1209 1 8 11'h3f0: return 8'b00000000; // 1210 1 8 11'h3f1: return 8'b00000000; // 1211 1 8 11'h3f2: return 8'b01111100; // ***** 1212 1 8 11'h3f3: return 8'b11000110; // ** ** 1213 1 8 11'h3f4: return 8'b11000110; // ** ** 1214 1 8 11'h3f5: return 8'b00001100; // ** 1215 1 8 11'h3f6: return 8'b00011000; // ** 1216 1 8 11'h3f7: return 8'b00011000; // ** 1217 1 8 11'h3f8: return 8'b00011000; // ** 1218 1 8 11'h3f9: return 8'b00000000; // 1219 1 8 11'h3fa: return 8'b00011000; // ** 1220 1 8 11'h3fb: return 8'b00011000; // ** 1221 1 8 11'h3fc: return 8'b00000000; // 1222 1 8 11'h3fd: return 8'b00000000; // 1223 1 8 11'h3fe: return 8'b00000000; // 1224 1 8 11'h3ff: return 8'b00000000; // 1225 //code x40 1226 1 ***0*** 11'h400: return 8'b00000000; // 1227 1 ***0*** 11'h401: return 8'b00000000; // 1228 1 ***0*** 11'h402: return 8'b01111100; // ***** 1229 1 ***0*** 11'h403: return 8'b11000110; // ** ** 1230 1 ***0*** 11'h404: return 8'b11000110; // ** ** 1231 1 ***0*** 11'h405: return 8'b11000110; // ** ** 1232 1 ***0*** 11'h406: return 8'b11011110; // ** **** 1233 1 ***0*** 11'h407: return 8'b11011110; // ** **** 1234 1 ***0*** 11'h408: return 8'b11011110; // ** **** 1235 1 ***0*** 11'h409: return 8'b11011100; // ** *** 1236 1 ***0*** 11'h40a: return 8'b11000000; // ** 1237 1 ***0*** 11'h40b: return 8'b01111100; // ***** 1238 1 ***0*** 11'h40c: return 8'b00000000; // 1239 1 ***0*** 11'h40d: return 8'b00000000; // 1240 1 ***0*** 11'h40e: return 8'b00000000; // 1241 1 ***0*** 11'h40f: return 8'b00000000; // 1242 //code x41 1243 1 ***0*** 11'h410: return 8'b00000000; // 1244 1 ***0*** 11'h411: return 8'b00000000; // 1245 1 ***0*** 11'h412: return 8'b00010000; // * 1246 1 ***0*** 11'h413: return 8'b00111000; // *** 1247 1 ***0*** 11'h414: return 8'b01101100; // ** ** 1248 1 ***0*** 11'h415: return 8'b11000110; // ** ** 1249 1 ***0*** 11'h416: return 8'b11000110; // ** ** 1250 1 ***0*** 11'h417: return 8'b11111110; // ******* 1251 1 ***0*** 11'h418: return 8'b11000110; // ** ** 1252 1 ***0*** 11'h419: return 8'b11000110; // ** ** 1253 1 ***0*** 11'h41a: return 8'b11000110; // ** ** 1254 1 ***0*** 11'h41b: return 8'b11000110; // ** ** 1255 1 ***0*** 11'h41c: return 8'b00000000; // 1256 1 ***0*** 11'h41d: return 8'b00000000; // 1257 1 ***0*** 11'h41e: return 8'b00000000; // 1258 1 ***0*** 11'h41f: return 8'b00000000; // 1259 //code x42 1260 1 ***0*** 11'h420: return 8'b00000000; // 1261 1 ***0*** 11'h421: return 8'b00000000; // 1262 1 ***0*** 11'h422: return 8'b11111100; // ****** 1263 1 ***0*** 11'h423: return 8'b01100110; // ** ** 1264 1 ***0*** 11'h424: return 8'b01100110; // ** ** 1265 1 ***0*** 11'h425: return 8'b01100110; // ** ** 1266 1 ***0*** 11'h426: return 8'b01111100; // ***** 1267 1 ***0*** 11'h427: return 8'b01100110; // ** ** 1268 1 ***0*** 11'h428: return 8'b01100110; // ** ** 1269 1 ***0*** 11'h429: return 8'b01100110; // ** ** 1270 1 ***0*** 11'h42a: return 8'b01100110; // ** ** 1271 1 ***0*** 11'h42b: return 8'b11111100; // ****** 1272 1 ***0*** 11'h42c: return 8'b00000000; // 1273 1 ***0*** 11'h42d: return 8'b00000000; // 1274 1 ***0*** 11'h42e: return 8'b00000000; // 1275 1 ***0*** 11'h42f: return 8'b00000000; // 1276 //code x43 1277 1 8 11'h430: return 8'b00000000; // 1278 1 8 11'h431: return 8'b00000000; // 1279 1 8 11'h432: return 8'b00111100; // **** 1280 1 8 11'h433: return 8'b01100110; // ** ** 1281 1 8 11'h434: return 8'b11000010; // ** * 1282 1 8 11'h435: return 8'b11000000; // ** 1283 1 8 11'h436: return 8'b11000000; // ** 1284 1 8 11'h437: return 8'b11000000; // ** 1285 1 8 11'h438: return 8'b11000000; // ** 1286 1 8 11'h439: return 8'b11000010; // ** * 1287 1 8 11'h43a: return 8'b01100110; // ** ** 1288 1 8 11'h43b: return 8'b00111100; // **** 1289 1 8 11'h43c: return 8'b00000000; // 1290 1 8 11'h43d: return 8'b00000000; // 1291 1 8 11'h43e: return 8'b00000000; // 1292 1 8 11'h43f: return 8'b00000000; // 1293 //code x44 1294 1 ***0*** 11'h440: return 8'b00000000; // 1295 1 ***0*** 11'h441: return 8'b00000000; // 1296 1 ***0*** 11'h442: return 8'b11111000; // ***** 1297 1 ***0*** 11'h443: return 8'b01101100; // ** ** 1298 1 ***0*** 11'h444: return 8'b01100110; // ** ** 1299 1 ***0*** 11'h445: return 8'b01100110; // ** ** 1300 1 ***0*** 11'h446: return 8'b01100110; // ** ** 1301 1 ***0*** 11'h447: return 8'b01100110; // ** ** 1302 1 ***0*** 11'h448: return 8'b01100110; // ** ** 1303 1 ***0*** 11'h449: return 8'b01100110; // ** ** 1304 1 ***0*** 11'h44a: return 8'b01101100; // ** ** 1305 1 ***0*** 11'h44b: return 8'b11111000; // ***** 1306 1 ***0*** 11'h44c: return 8'b00000000; // 1307 1 ***0*** 11'h44d: return 8'b00000000; // 1308 1 ***0*** 11'h44e: return 8'b00000000; // 1309 1 ***0*** 11'h44f: return 8'b00000000; // 1310 //code x45 1311 1 ***0*** 11'h450: return 8'b00000000; // 1312 1 ***0*** 11'h451: return 8'b00000000; // 1313 1 ***0*** 11'h452: return 8'b11111110; // ******* 1314 1 ***0*** 11'h453: return 8'b01100110; // ** ** 1315 1 ***0*** 11'h454: return 8'b01100010; // ** * 1316 1 ***0*** 11'h455: return 8'b01101000; // ** * 1317 1 ***0*** 11'h456: return 8'b01111000; // **** 1318 1 ***0*** 11'h457: return 8'b01101000; // ** * 1319 1 ***0*** 11'h458: return 8'b01100000; // ** 1320 1 ***0*** 11'h459: return 8'b01100010; // ** * 1321 1 ***0*** 11'h45a: return 8'b01100110; // ** ** 1322 1 ***0*** 11'h45b: return 8'b11111110; // ******* 1323 1 ***0*** 11'h45c: return 8'b00000000; // 1324 1 ***0*** 11'h45d: return 8'b00000000; // 1325 1 ***0*** 11'h45e: return 8'b00000000; // 1326 1 ***0*** 11'h45f: return 8'b00000000; // 1327 //code x46 1328 1 ***0*** 11'h460: return 8'b00000000; // 1329 1 ***0*** 11'h461: return 8'b00000000; // 1330 1 ***0*** 11'h462: return 8'b11111110; // ******* 1331 1 ***0*** 11'h463: return 8'b01100110; // ** ** 1332 1 ***0*** 11'h464: return 8'b01100010; // ** * 1333 1 ***0*** 11'h465: return 8'b01101000; // ** * 1334 1 ***0*** 11'h466: return 8'b01111000; // **** 1335 1 ***0*** 11'h467: return 8'b01101000; // ** * 1336 1 ***0*** 11'h468: return 8'b01100000; // ** 1337 1 ***0*** 11'h469: return 8'b01100000; // ** 1338 1 ***0*** 11'h46a: return 8'b01100000; // ** 1339 1 ***0*** 11'h46b: return 8'b11110000; // **** 1340 1 ***0*** 11'h46c: return 8'b00000000; // 1341 1 ***0*** 11'h46d: return 8'b00000000; // 1342 1 ***0*** 11'h46e: return 8'b00000000; // 1343 1 ***0*** 11'h46f: return 8'b00000000; // 1344 //code x47 1345 1 ***0*** 11'h470: return 8'b00000000; // 1346 1 ***0*** 11'h471: return 8'b00000000; // 1347 1 ***0*** 11'h472: return 8'b00111100; // **** 1348 1 ***0*** 11'h473: return 8'b01100110; // ** ** 1349 1 ***0*** 11'h474: return 8'b11000010; // ** * 1350 1 ***0*** 11'h475: return 8'b11000000; // ** 1351 1 ***0*** 11'h476: return 8'b11000000; // ** 1352 1 ***0*** 11'h477: return 8'b11011110; // ** **** 1353 1 ***0*** 11'h478: return 8'b11000110; // ** ** 1354 1 ***0*** 11'h479: return 8'b11000110; // ** ** 1355 1 ***0*** 11'h47a: return 8'b01100110; // ** ** 1356 1 ***0*** 11'h47b: return 8'b00111010; // *** * 1357 1 ***0*** 11'h47c: return 8'b00000000; // 1358 1 ***0*** 11'h47d: return 8'b00000000; // 1359 1 ***0*** 11'h47e: return 8'b00000000; // 1360 1 ***0*** 11'h47f: return 8'b00000000; // 1361 //code x48 1362 1 8 11'h480: return 8'b00000000; // 1363 1 8 11'h481: return 8'b00000000; // 1364 1 8 11'h482: return 8'b11000110; // ** ** 1365 1 8 11'h483: return 8'b11000110; // ** ** 1366 1 8 11'h484: return 8'b11000110; // ** ** 1367 1 8 11'h485: return 8'b11000110; // ** ** 1368 1 8 11'h486: return 8'b11111110; // ******* 1369 1 8 11'h487: return 8'b11000110; // ** ** 1370 1 8 11'h488: return 8'b11000110; // ** ** 1371 1 8 11'h489: return 8'b11000110; // ** ** 1372 1 8 11'h48a: return 8'b11000110; // ** ** 1373 1 8 11'h48b: return 8'b11000110; // ** ** 1374 1 8 11'h48c: return 8'b00000000; // 1375 1 8 11'h48d: return 8'b00000000; // 1376 1 8 11'h48e: return 8'b00000000; // 1377 1 8 11'h48f: return 8'b00000000; // 1378 //code x49 1379 1 ***0*** 11'h490: return 8'b00000000; // 1380 1 ***0*** 11'h491: return 8'b00000000; // 1381 1 ***0*** 11'h492: return 8'b00111100; // **** 1382 1 ***0*** 11'h493: return 8'b00011000; // ** 1383 1 ***0*** 11'h494: return 8'b00011000; // ** 1384 1 ***0*** 11'h495: return 8'b00011000; // ** 1385 1 ***0*** 11'h496: return 8'b00011000; // ** 1386 1 ***0*** 11'h497: return 8'b00011000; // ** 1387 1 ***0*** 11'h498: return 8'b00011000; // ** 1388 1 ***0*** 11'h499: return 8'b00011000; // ** 1389 1 ***0*** 11'h49a: return 8'b00011000; // ** 1390 1 ***0*** 11'h49b: return 8'b00111100; // **** 1391 1 ***0*** 11'h49c: return 8'b00000000; // 1392 1 ***0*** 11'h49d: return 8'b00000000; // 1393 1 ***0*** 11'h49e: return 8'b00000000; // 1394 1 ***0*** 11'h49f: return 8'b00000000; // 1395 //code x4a 1396 1 ***0*** 11'h4a0: return 8'b00000000; // 1397 1 ***0*** 11'h4a1: return 8'b00000000; // 1398 1 ***0*** 11'h4a2: return 8'b00011110; // **** 1399 1 ***0*** 11'h4a3: return 8'b00001100; // ** 1400 1 ***0*** 11'h4a4: return 8'b00001100; // ** 1401 1 ***0*** 11'h4a5: return 8'b00001100; // ** 1402 1 ***0*** 11'h4a6: return 8'b00001100; // ** 1403 1 ***0*** 11'h4a7: return 8'b00001100; // ** 1404 1 ***0*** 11'h4a8: return 8'b11001100; // ** ** 1405 1 ***0*** 11'h4a9: return 8'b11001100; // ** ** 1406 1 ***0*** 11'h4aa: return 8'b11001100; // ** ** 1407 1 ***0*** 11'h4ab: return 8'b01111000; // **** 1408 1 ***0*** 11'h4ac: return 8'b00000000; // 1409 1 ***0*** 11'h4ad: return 8'b00000000; // 1410 1 ***0*** 11'h4ae: return 8'b00000000; // 1411 1 ***0*** 11'h4af: return 8'b00000000; // 1412 //code x4b 1413 1 ***0*** 11'h4b0: return 8'b00000000; // 1414 1 ***0*** 11'h4b1: return 8'b00000000; // 1415 1 ***0*** 11'h4b2: return 8'b11100110; // *** ** 1416 1 ***0*** 11'h4b3: return 8'b01100110; // ** ** 1417 1 ***0*** 11'h4b4: return 8'b01100110; // ** ** 1418 1 ***0*** 11'h4b5: return 8'b01101100; // ** ** 1419 1 ***0*** 11'h4b6: return 8'b01111000; // **** 1420 1 ***0*** 11'h4b7: return 8'b01111000; // **** 1421 1 ***0*** 11'h4b8: return 8'b01101100; // ** ** 1422 1 ***0*** 11'h4b9: return 8'b01100110; // ** ** 1423 1 ***0*** 11'h4ba: return 8'b01100110; // ** ** 1424 1 ***0*** 11'h4bb: return 8'b11100110; // *** ** 1425 1 ***0*** 11'h4bc: return 8'b00000000; // 1426 1 ***0*** 11'h4bd: return 8'b00000000; // 1427 1 ***0*** 11'h4be: return 8'b00000000; // 1428 1 ***0*** 11'h4bf: return 8'b00000000; // 1429 //code x4c 1430 1 ***0*** 11'h4c0: return 8'b00000000; // 1431 1 ***0*** 11'h4c1: return 8'b00000000; // 1432 1 ***0*** 11'h4c2: return 8'b11110000; // **** 1433 1 ***0*** 11'h4c3: return 8'b01100000; // ** 1434 1 ***0*** 11'h4c4: return 8'b01100000; // ** 1435 1 ***0*** 11'h4c5: return 8'b01100000; // ** 1436 1 ***0*** 11'h4c6: return 8'b01100000; // ** 1437 1 ***0*** 11'h4c7: return 8'b01100000; // ** 1438 1 ***0*** 11'h4c8: return 8'b01100000; // ** 1439 1 ***0*** 11'h4c9: return 8'b01100010; // ** * 1440 1 ***0*** 11'h4ca: return 8'b01100110; // ** ** 1441 1 ***0*** 11'h4cb: return 8'b11111110; // ******* 1442 1 ***0*** 11'h4cc: return 8'b00000000; // 1443 1 ***0*** 11'h4cd: return 8'b00000000; // 1444 1 ***0*** 11'h4ce: return 8'b00000000; // 1445 1 ***0*** 11'h4cf: return 8'b00000000; // 1446 //code x4d 1447 1 8 11'h4d0: return 8'b00000000; // 1448 1 8 11'h4d1: return 8'b00000000; // 1449 1 8 11'h4d2: return 8'b11000011; // ** ** 1450 1 8 11'h4d3: return 8'b11100111; // *** *** 1451 1 8 11'h4d4: return 8'b11111111; // ******** 1452 1 8 11'h4d5: return 8'b11111111; // ******** 1453 1 8 11'h4d6: return 8'b11011011; // ** ** ** 1454 1 8 11'h4d7: return 8'b11000011; // ** ** 1455 1 8 11'h4d8: return 8'b11000011; // ** ** 1456 1 8 11'h4d9: return 8'b11000011; // ** ** 1457 1 8 11'h4da: return 8'b11000011; // ** ** 1458 1 8 11'h4db: return 8'b11000011; // ** ** 1459 1 8 11'h4dc: return 8'b00000000; // 1460 1 8 11'h4dd: return 8'b00000000; // 1461 1 8 11'h4de: return 8'b00000000; // 1462 1 8 11'h4df: return 8'b00000000; // 1463 //code x4e 1464 1 ***0*** 11'h4e0: return 8'b00000000; // 1465 1 ***0*** 11'h4e1: return 8'b00000000; // 1466 1 ***0*** 11'h4e2: return 8'b11000110; // ** ** 1467 1 ***0*** 11'h4e3: return 8'b11100110; // *** ** 1468 1 ***0*** 11'h4e4: return 8'b11110110; // **** ** 1469 1 ***0*** 11'h4e5: return 8'b11111110; // ******* 1470 1 ***0*** 11'h4e6: return 8'b11011110; // ** **** 1471 1 ***0*** 11'h4e7: return 8'b11001110; // ** *** 1472 1 ***0*** 11'h4e8: return 8'b11000110; // ** ** 1473 1 ***0*** 11'h4e9: return 8'b11000110; // ** ** 1474 1 ***0*** 11'h4ea: return 8'b11000110; // ** ** 1475 1 ***0*** 11'h4eb: return 8'b11000110; // ** ** 1476 1 ***0*** 11'h4ec: return 8'b00000000; // 1477 1 ***0*** 11'h4ed: return 8'b00000000; // 1478 1 ***0*** 11'h4ee: return 8'b00000000; // 1479 1 ***0*** 11'h4ef: return 8'b00000000; // 1480 //code x4f 1481 1 ***0*** 11'h4f0: return 8'b00000000; // 1482 1 ***0*** 11'h4f1: return 8'b00000000; // 1483 1 ***0*** 11'h4f2: return 8'b01111100; // ***** 1484 1 ***0*** 11'h4f3: return 8'b11000110; // ** ** 1485 1 ***0*** 11'h4f4: return 8'b11000110; // ** ** 1486 1 ***0*** 11'h4f5: return 8'b11000110; // ** ** 1487 1 ***0*** 11'h4f6: return 8'b11000110; // ** ** 1488 1 ***0*** 11'h4f7: return 8'b11000110; // ** ** 1489 1 ***0*** 11'h4f8: return 8'b11000110; // ** ** 1490 1 ***0*** 11'h4f9: return 8'b11000110; // ** ** 1491 1 ***0*** 11'h4fa: return 8'b11000110; // ** ** 1492 1 ***0*** 11'h4fb: return 8'b01111100; // ***** 1493 1 ***0*** 11'h4fc: return 8'b00000000; // 1494 1 ***0*** 11'h4fd: return 8'b00000000; // 1495 1 ***0*** 11'h4fe: return 8'b00000000; // 1496 1 ***0*** 11'h4ff: return 8'b00000000; // 1497 //code x50 1498 1 ***0*** 11'h500: return 8'b00000000; // 1499 1 ***0*** 11'h501: return 8'b00000000; // 1500 1 ***0*** 11'h502: return 8'b11111100; // ****** 1501 1 ***0*** 11'h503: return 8'b01100110; // ** ** 1502 1 ***0*** 11'h504: return 8'b01100110; // ** ** 1503 1 ***0*** 11'h505: return 8'b01100110; // ** ** 1504 1 ***0*** 11'h506: return 8'b01111100; // ***** 1505 1 ***0*** 11'h507: return 8'b01100000; // ** 1506 1 ***0*** 11'h508: return 8'b01100000; // ** 1507 1 ***0*** 11'h509: return 8'b01100000; // ** 1508 1 ***0*** 11'h50a: return 8'b01100000; // ** 1509 1 ***0*** 11'h50b: return 8'b11110000; // **** 1510 1 ***0*** 11'h50c: return 8'b00000000; // 1511 1 ***0*** 11'h50d: return 8'b00000000; // 1512 1 ***0*** 11'h50e: return 8'b00000000; // 1513 1 ***0*** 11'h50f: return 8'b00000000; // 1514 //code x510f 1515 1 ***0*** 11'h510: return 8'b00000000; // 1516 1 ***0*** 11'h511: return 8'b00000000; // 1517 1 ***0*** 11'h512: return 8'b01111100; // ***** 1518 1 ***0*** 11'h513: return 8'b11000110; // ** ** 1519 1 ***0*** 11'h514: return 8'b11000110; // ** ** 1520 1 ***0*** 11'h515: return 8'b11000110; // ** ** 1521 1 ***0*** 11'h516: return 8'b11000110; // ** ** 1522 1 ***0*** 11'h517: return 8'b11000110; // ** ** 1523 1 ***0*** 11'h518: return 8'b11000110; // ** ** 1524 1 ***0*** 11'h519: return 8'b11010110; // ** * ** 1525 1 ***0*** 11'h51a: return 8'b11011110; // ** **** 1526 1 ***0*** 11'h51b: return 8'b01111100; // ***** 1527 1 ***0*** 11'h51c: return 8'b00001100; // ** 1528 1 ***0*** 11'h51d: return 8'b00001110; // *** 1529 1 ***0*** 11'h51e: return 8'b00000000; // 1530 1 ***0*** 11'h51f: return 8'b00000000; // 1531 //code x52 1532 1 ***0*** 11'h520: return 8'b00000000; // 1533 1 ***0*** 11'h521: return 8'b00000000; // 1534 1 ***0*** 11'h522: return 8'b11111100; // ****** 1535 1 ***0*** 11'h523: return 8'b01100110; // ** ** 1536 1 ***0*** 11'h524: return 8'b01100110; // ** ** 1537 1 ***0*** 11'h525: return 8'b01100110; // ** ** 1538 1 ***0*** 11'h526: return 8'b01111100; // ***** 1539 1 ***0*** 11'h527: return 8'b01101100; // ** ** 1540 1 ***0*** 11'h528: return 8'b01100110; // ** ** 1541 1 ***0*** 11'h529: return 8'b01100110; // ** ** 1542 1 ***0*** 11'h52a: return 8'b01100110; // ** ** 1543 1 ***0*** 11'h52b: return 8'b11100110; // *** ** 1544 1 ***0*** 11'h52c: return 8'b00000000; // 1545 1 ***0*** 11'h52d: return 8'b00000000; // 1546 1 ***0*** 11'h52e: return 8'b00000000; // 1547 1 ***0*** 11'h52f: return 8'b00000000; // 1548 //code x53 1549 1 7 11'h530: return 8'b00000000; // 1550 1 7 11'h531: return 8'b00000000; // 1551 1 7 11'h532: return 8'b01111100; // ***** 1552 1 7 11'h533: return 8'b11000110; // ** ** 1553 1 7 11'h534: return 8'b11000110; // ** ** 1554 1 7 11'h535: return 8'b01100000; // ** 1555 1 7 11'h536: return 8'b00111000; // *** 1556 1 7 11'h537: return 8'b00001100; // ** 1557 1 7 11'h538: return 8'b00000110; // ** 1558 1 7 11'h539: return 8'b11000110; // ** ** 1559 1 7 11'h53a: return 8'b11000110; // ** ** 1560 1 7 11'h53b: return 8'b01111100; // ***** 1561 1 7 11'h53c: return 8'b00000000; // 1562 1 7 11'h53d: return 8'b00000000; // 1563 1 7 11'h53e: return 8'b00000000; // 1564 1 7 11'h53f: return 8'b00000000; // 1565 //code x54 1566 1 ***0*** 11'h540: return 8'b00000000; // 1567 1 ***0*** 11'h541: return 8'b00000000; // 1568 1 ***0*** 11'h542: return 8'b11111111; // ******** 1569 1 ***0*** 11'h543: return 8'b11011011; // ** ** ** 1570 1 ***0*** 11'h544: return 8'b10011001; // * ** * 1571 1 ***0*** 11'h545: return 8'b00011000; // ** 1572 1 ***0*** 11'h546: return 8'b00011000; // ** 1573 1 ***0*** 11'h547: return 8'b00011000; // ** 1574 1 ***0*** 11'h548: return 8'b00011000; // ** 1575 1 ***0*** 11'h549: return 8'b00011000; // ** 1576 1 ***0*** 11'h54a: return 8'b00011000; // ** 1577 1 ***0*** 11'h54b: return 8'b00111100; // **** 1578 1 ***0*** 11'h54c: return 8'b00000000; // 1579 1 ***0*** 11'h54d: return 8'b00000000; // 1580 1 ***0*** 11'h54e: return 8'b00000000; // 1581 1 ***0*** 11'h54f: return 8'b00000000; // 1582 //code x55 1583 1 ***0*** 11'h550: return 8'b00000000; // 1584 1 ***0*** 11'h551: return 8'b00000000; // 1585 1 ***0*** 11'h552: return 8'b11000110; // ** ** 1586 1 ***0*** 11'h553: return 8'b11000110; // ** ** 1587 1 ***0*** 11'h554: return 8'b11000110; // ** ** 1588 1 ***0*** 11'h555: return 8'b11000110; // ** ** 1589 1 ***0*** 11'h556: return 8'b11000110; // ** ** 1590 1 ***0*** 11'h557: return 8'b11000110; // ** ** 1591 1 ***0*** 11'h558: return 8'b11000110; // ** ** 1592 1 ***0*** 11'h559: return 8'b11000110; // ** ** 1593 1 ***0*** 11'h55a: return 8'b11000110; // ** ** 1594 1 ***0*** 11'h55b: return 8'b01111100; // ***** 1595 1 ***0*** 11'h55c: return 8'b00000000; // 1596 1 ***0*** 11'h55d: return 8'b00000000; // 1597 1 ***0*** 11'h55e: return 8'b00000000; // 1598 1 ***0*** 11'h55f: return 8'b00000000; // 1599 //code x56 1600 1 8 11'h560: return 8'b00000000; // 1601 1 8 11'h561: return 8'b00000000; // 1602 1 8 11'h562: return 8'b11000011; // ** ** 1603 1 8 11'h563: return 8'b11000011; // ** ** 1604 1 8 11'h564: return 8'b11000011; // ** ** 1605 1 8 11'h565: return 8'b11000011; // ** ** 1606 1 8 11'h566: return 8'b11000011; // ** ** 1607 1 8 11'h567: return 8'b11000011; // ** ** 1608 1 8 11'h568: return 8'b11000011; // ** ** 1609 1 8 11'h569: return 8'b01100110; // ** ** 1610 1 8 11'h56a: return 8'b00111100; // **** 1611 1 8 11'h56b: return 8'b00011000; // ** 1612 1 8 11'h56c: return 8'b00000000; // 1613 1 8 11'h56d: return 8'b00000000; // 1614 1 8 11'h56e: return 8'b00000000; // 1615 1 8 11'h56f: return 8'b00000000; // 1616 //code x57 1617 1 ***0*** 11'h570: return 8'b00000000; // 1618 1 ***0*** 11'h571: return 8'b00000000; // 1619 1 ***0*** 11'h572: return 8'b11000011; // ** ** 1620 1 ***0*** 11'h573: return 8'b11000011; // ** ** 1621 1 ***0*** 11'h574: return 8'b11000011; // ** ** 1622 1 ***0*** 11'h575: return 8'b11000011; // ** ** 1623 1 ***0*** 11'h576: return 8'b11000011; // ** ** 1624 1 ***0*** 11'h577: return 8'b11011011; // ** ** ** 1625 1 ***0*** 11'h578: return 8'b11011011; // ** ** ** 1626 1 ***0*** 11'h579: return 8'b11111111; // ******** 1627 1 ***0*** 11'h57a: return 8'b01100110; // ** ** 1628 1 ***0*** 11'h57b: return 8'b01100110; // ** ** 1629 1 ***0*** 11'h57c: return 8'b00000000; // 1630 1 ***0*** 11'h57d: return 8'b00000000; // 1631 1 ***0*** 11'h57e: return 8'b00000000; // 1632 1 ***0*** 11'h57f: return 8'b00000000; // 1633 //code x58 1634 1 ***0*** 11'h580: return 8'b00000000; // 1635 1 ***0*** 11'h581: return 8'b00000000; // 1636 1 ***0*** 11'h582: return 8'b11000011; // ** ** 1637 1 ***0*** 11'h583: return 8'b11000011; // ** ** 1638 1 ***0*** 11'h584: return 8'b01100110; // ** ** 1639 1 ***0*** 11'h585: return 8'b00111100; // **** 1640 1 ***0*** 11'h586: return 8'b00011000; // ** 1641 1 ***0*** 11'h587: return 8'b00011000; // ** 1642 1 ***0*** 11'h588: return 8'b00111100; // **** 1643 1 ***0*** 11'h589: return 8'b01100110; // ** ** 1644 1 ***0*** 11'h58a: return 8'b11000011; // ** ** 1645 1 ***0*** 11'h58b: return 8'b11000011; // ** ** 1646 1 ***0*** 11'h58c: return 8'b00000000; // 1647 1 ***0*** 11'h58d: return 8'b00000000; // 1648 1 ***0*** 11'h58e: return 8'b00000000; // 1649 1 ***0*** 11'h58f: return 8'b00000000; // 1650 //code x59 1651 1 ***0*** 11'h590: return 8'b00000000; // 1652 1 ***0*** 11'h591: return 8'b00000000; // 1653 1 ***0*** 11'h592: return 8'b11000011; // ** ** 1654 1 ***0*** 11'h593: return 8'b11000011; // ** ** 1655 1 ***0*** 11'h594: return 8'b11000011; // ** ** 1656 1 ***0*** 11'h595: return 8'b01100110; // ** ** 1657 1 ***0*** 11'h596: return 8'b00111100; // **** 1658 1 ***0*** 11'h597: return 8'b00011000; // ** 1659 1 ***0*** 11'h598: return 8'b00011000; // ** 1660 1 ***0*** 11'h599: return 8'b00011000; // ** 1661 1 ***0*** 11'h59a: return 8'b00011000; // ** 1662 1 ***0*** 11'h59b: return 8'b00111100; // **** 1663 1 ***0*** 11'h59c: return 8'b00000000; // 1664 1 ***0*** 11'h59d: return 8'b00000000; // 1665 1 ***0*** 11'h59e: return 8'b00000000; // 1666 1 ***0*** 11'h59f: return 8'b00000000; // 1667 //code x5a 1668 1 ***0*** 11'h5a0: return 8'b00000000; // 1669 1 ***0*** 11'h5a1: return 8'b00000000; // 1670 1 ***0*** 11'h5a2: return 8'b11111111; // ******** 1671 1 ***0*** 11'h5a3: return 8'b11000011; // ** ** 1672 1 ***0*** 11'h5a4: return 8'b10000110; // * ** 1673 1 ***0*** 11'h5a5: return 8'b00001100; // ** 1674 1 ***0*** 11'h5a6: return 8'b00011000; // ** 1675 1 ***0*** 11'h5a7: return 8'b00110000; // ** 1676 1 ***0*** 11'h5a8: return 8'b01100000; // ** 1677 1 ***0*** 11'h5a9: return 8'b11000001; // ** * 1678 1 ***0*** 11'h5aa: return 8'b11000011; // ** ** 1679 1 ***0*** 11'h5ab: return 8'b11111111; // ******** 1680 1 ***0*** 11'h5ac: return 8'b00000000; // 1681 1 ***0*** 11'h5ad: return 8'b00000000; // 1682 1 ***0*** 11'h5ae: return 8'b00000000; // 1683 1 ***0*** 11'h5af: return 8'b00000000; // 1684 //code x5b 1685 1 8 11'h5b0: return 8'b00000000; // 1686 1 8 11'h5b1: return 8'b00000000; // 1687 1 8 11'h5b2: return 8'b00111100; // **** 1688 1 8 11'h5b3: return 8'b00110000; // ** 1689 1 8 11'h5b4: return 8'b00110000; // ** 1690 1 8 11'h5b5: return 8'b00110000; // ** 1691 1 8 11'h5b6: return 8'b00110000; // ** 1692 1 8 11'h5b7: return 8'b00110000; // ** 1693 1 8 11'h5b8: return 8'b00110000; // ** 1694 1 8 11'h5b9: return 8'b00110000; // ** 1695 1 8 11'h5ba: return 8'b00110000; // ** 1696 1 8 11'h5bb: return 8'b00111100; // **** 1697 1 8 11'h5bc: return 8'b00000000; // 1698 1 8 11'h5bd: return 8'b00000000; // 1699 1 8 11'h5be: return 8'b00000000; // 1700 1 8 11'h5bf: return 8'b00000000; // 1701 //code x5c 1702 1 ***0*** 11'h5c0: return 8'b00000000; // 1703 1 ***0*** 11'h5c1: return 8'b00000000; // 1704 1 ***0*** 11'h5c2: return 8'b00000000; // 1705 1 ***0*** 11'h5c3: return 8'b10000000; // * 1706 1 ***0*** 11'h5c4: return 8'b11000000; // ** 1707 1 ***0*** 11'h5c5: return 8'b11100000; // *** 1708 1 ***0*** 11'h5c6: return 8'b01110000; // *** 1709 1 ***0*** 11'h5c7: return 8'b00111000; // *** 1710 1 ***0*** 11'h5c8: return 8'b00011100; // *** 1711 1 ***0*** 11'h5c9: return 8'b00001110; // *** 1712 1 ***0*** 11'h5ca: return 8'b00000110; // ** 1713 1 ***0*** 11'h5cb: return 8'b00000010; // * 1714 1 ***0*** 11'h5cc: return 8'b00000000; // 1715 1 ***0*** 11'h5cd: return 8'b00000000; // 1716 1 ***0*** 11'h5ce: return 8'b00000000; // 1717 1 ***0*** 11'h5cf: return 8'b00000000; // 1718 //code x5d 1719 1 8 11'h5d0: return 8'b00000000; // 1720 1 8 11'h5d1: return 8'b00000000; // 1721 1 8 11'h5d2: return 8'b00111100; // **** 1722 1 8 11'h5d3: return 8'b00001100; // ** 1723 1 8 11'h5d4: return 8'b00001100; // ** 1724 1 8 11'h5d5: return 8'b00001100; // ** 1725 1 8 11'h5d6: return 8'b00001100; // ** 1726 1 8 11'h5d7: return 8'b00001100; // ** 1727 1 8 11'h5d8: return 8'b00001100; // ** 1728 1 8 11'h5d9: return 8'b00001100; // ** 1729 1 8 11'h5da: return 8'b00001100; // ** 1730 1 8 11'h5db: return 8'b00111100; // **** 1731 1 8 11'h5dc: return 8'b00000000; // 1732 1 8 11'h5dd: return 8'b00000000; // 1733 1 8 11'h5de: return 8'b00000000; // 1734 1 8 11'h5df: return 8'b00000000; // 1735 //code x5e 1736 1 ***0*** 11'h5e0: return 8'b00010000; // * 1737 1 ***0*** 11'h5e1: return 8'b00111000; // *** 1738 1 ***0*** 11'h5e2: return 8'b01101100; // ** ** 1739 1 ***0*** 11'h5e3: return 8'b11000110; // ** ** 1740 1 ***0*** 11'h5e4: return 8'b00000000; // 1741 1 ***0*** 11'h5e5: return 8'b00000000; // 1742 1 ***0*** 11'h5e6: return 8'b00000000; // 1743 1 ***0*** 11'h5e7: return 8'b00000000; // 1744 1 ***0*** 11'h5e8: return 8'b00000000; // 1745 1 ***0*** 11'h5e9: return 8'b00000000; // 1746 1 ***0*** 11'h5ea: return 8'b00000000; // 1747 1 ***0*** 11'h5eb: return 8'b00000000; // 1748 1 ***0*** 11'h5ec: return 8'b00000000; // 1749 1 ***0*** 11'h5ed: return 8'b00000000; // 1750 1 ***0*** 11'h5ee: return 8'b00000000; // 1751 1 ***0*** 11'h5ef: return 8'b00000000; // 1752 //code x5f 1753 1 ***0*** 11'h5f0: return 8'b00000000; // 1754 1 ***0*** 11'h5f1: return 8'b00000000; // 1755 1 ***0*** 11'h5f2: return 8'b00000000; // 1756 1 ***0*** 11'h5f3: return 8'b00000000; // 1757 1 ***0*** 11'h5f4: return 8'b00000000; // 1758 1 ***0*** 11'h5f5: return 8'b00000000; // 1759 1 ***0*** 11'h5f6: return 8'b00000000; // 1760 1 ***0*** 11'h5f7: return 8'b00000000; // 1761 1 ***0*** 11'h5f8: return 8'b00000000; // 1762 1 ***0*** 11'h5f9: return 8'b00000000; // 1763 1 ***0*** 11'h5fa: return 8'b00000000; // 1764 1 ***0*** 11'h5fb: return 8'b00000000; // 1765 1 ***0*** 11'h5fc: return 8'b00000000; // 1766 1 ***0*** 11'h5fd: return 8'b11111111; // ******** 1767 1 ***0*** 11'h5fe: return 8'b00000000; // 1768 1 ***0*** 11'h5ff: return 8'b00000000; // 1769 //code x60 1770 1 ***0*** 11'h600: return 8'b00110000; // ** 1771 1 ***0*** 11'h601: return 8'b00110000; // ** 1772 1 ***0*** 11'h602: return 8'b00011000; // ** 1773 1 ***0*** 11'h603: return 8'b00000000; // 1774 1 ***0*** 11'h604: return 8'b00000000; // 1775 1 ***0*** 11'h605: return 8'b00000000; // 1776 1 ***0*** 11'h606: return 8'b00000000; // 1777 1 ***0*** 11'h607: return 8'b00000000; // 1778 1 ***0*** 11'h608: return 8'b00000000; // 1779 1 ***0*** 11'h609: return 8'b00000000; // 1780 1 ***0*** 11'h60a: return 8'b00000000; // 1781 1 ***0*** 11'h60b: return 8'b00000000; // 1782 1 ***0*** 11'h60c: return 8'b00000000; // 1783 1 ***0*** 11'h60d: return 8'b00000000; // 1784 1 ***0*** 11'h60e: return 8'b00000000; // 1785 1 ***0*** 11'h60f: return 8'b00000000; // 1786 //code x61 1787 1 ***0*** 11'h610: return 8'b00000000; // 1788 1 ***0*** 11'h611: return 8'b00000000; // 1789 1 ***0*** 11'h612: return 8'b00000000; // 1790 1 ***0*** 11'h613: return 8'b00000000; // 1791 1 ***0*** 11'h614: return 8'b00000000; // 1792 1 ***0*** 11'h615: return 8'b01111000; // **** 1793 1 ***0*** 11'h616: return 8'b00001100; // ** 1794 1 ***0*** 11'h617: return 8'b01111100; // ***** 1795 1 ***0*** 11'h618: return 8'b11001100; // ** ** 1796 1 ***0*** 11'h619: return 8'b11001100; // ** ** 1797 1 ***0*** 11'h61a: return 8'b11001100; // ** ** 1798 1 ***0*** 11'h61b: return 8'b01110110; // *** ** 1799 1 ***0*** 11'h61c: return 8'b00000000; // 1800 1 ***0*** 11'h61d: return 8'b00000000; // 1801 1 ***0*** 11'h61e: return 8'b00000000; // 1802 1 ***0*** 11'h61f: return 8'b00000000; // 1803 //code x62 1804 1 8 11'h620: return 8'b00000000; // 1805 1 8 11'h621: return 8'b00000000; // 1806 1 8 11'h622: return 8'b11100000; // *** 1807 1 8 11'h623: return 8'b01100000; // ** 1808 1 8 11'h624: return 8'b01100000; // ** 1809 1 8 11'h625: return 8'b01111000; // **** 1810 1 8 11'h626: return 8'b01101100; // ** ** 1811 1 8 11'h627: return 8'b01100110; // ** ** 1812 1 8 11'h628: return 8'b01100110; // ** ** 1813 1 8 11'h629: return 8'b01100110; // ** ** 1814 1 8 11'h62a: return 8'b01100110; // ** ** 1815 1 8 11'h62b: return 8'b01111100; // ***** 1816 1 8 11'h62c: return 8'b00000000; // 1817 1 8 11'h62d: return 8'b00000000; // 1818 1 8 11'h62e: return 8'b00000000; // 1819 1 8 11'h62f: return 8'b00000000; // 1820 //code x63 1821 1 ***0*** 11'h630: return 8'b00000000; // 1822 1 ***0*** 11'h631: return 8'b00000000; // 1823 1 ***0*** 11'h632: return 8'b00000000; // 1824 1 ***0*** 11'h633: return 8'b00000000; // 1825 1 ***0*** 11'h634: return 8'b00000000; // 1826 1 ***0*** 11'h635: return 8'b01111100; // ***** 1827 1 ***0*** 11'h636: return 8'b11000110; // ** ** 1828 1 ***0*** 11'h637: return 8'b11000000; // ** 1829 1 ***0*** 11'h638: return 8'b11000000; // ** 1830 1 ***0*** 11'h639: return 8'b11000000; // ** 1831 1 ***0*** 11'h63a: return 8'b11000110; // ** ** 1832 1 ***0*** 11'h63b: return 8'b01111100; // ***** 1833 1 ***0*** 11'h63c: return 8'b00000000; // 1834 1 ***0*** 11'h63d: return 8'b00000000; // 1835 1 ***0*** 11'h63e: return 8'b00000000; // 1836 1 ***0*** 11'h63f: return 8'b00000000; // 1837 //code x64 1838 1 8 11'h640: return 8'b00000000; // 1839 1 8 11'h641: return 8'b00000000; // 1840 1 8 11'h642: return 8'b00011100; // *** 1841 1 8 11'h643: return 8'b00001100; // ** 1842 1 8 11'h644: return 8'b00001100; // ** 1843 1 8 11'h645: return 8'b00111100; // **** 1844 1 8 11'h646: return 8'b01101100; // ** ** 1845 1 8 11'h647: return 8'b11001100; // ** ** 1846 1 8 11'h648: return 8'b11001100; // ** ** 1847 1 8 11'h649: return 8'b11001100; // ** ** 1848 1 8 11'h64a: return 8'b11001100; // ** ** 1849 1 8 11'h64b: return 8'b01110110; // *** ** 1850 1 8 11'h64c: return 8'b00000000; // 1851 1 8 11'h64d: return 8'b00000000; // 1852 1 8 11'h64e: return 8'b00000000; // 1853 1 8 11'h64f: return 8'b00000000; // 1854 //code x65 1855 1 ***0*** 11'h650: return 8'b00000000; // 1856 1 ***0*** 11'h651: return 8'b00000000; // 1857 1 ***0*** 11'h652: return 8'b00000000; // 1858 1 ***0*** 11'h653: return 8'b00000000; // 1859 1 ***0*** 11'h654: return 8'b00000000; // 1860 1 ***0*** 11'h655: return 8'b01111100; // ***** 1861 1 ***0*** 11'h656: return 8'b11000110; // ** ** 1862 1 ***0*** 11'h657: return 8'b11111110; // ******* 1863 1 ***0*** 11'h658: return 8'b11000000; // ** 1864 1 ***0*** 11'h659: return 8'b11000000; // ** 1865 1 ***0*** 11'h65a: return 8'b11000110; // ** ** 1866 1 ***0*** 11'h65b: return 8'b01111100; // ***** 1867 1 ***0*** 11'h65c: return 8'b00000000; // 1868 1 ***0*** 11'h65d: return 8'b00000000; // 1869 1 ***0*** 11'h65e: return 8'b00000000; // 1870 1 ***0*** 11'h65f: return 8'b00000000; // 1871 //code x66 1872 1 ***0*** 11'h660: return 8'b00000000; // 1873 1 ***0*** 11'h661: return 8'b00000000; // 1874 1 ***0*** 11'h662: return 8'b00111000; // *** 1875 1 ***0*** 11'h663: return 8'b01101100; // ** ** 1876 1 ***0*** 11'h664: return 8'b01100100; // ** * 1877 1 ***0*** 11'h665: return 8'b01100000; // ** 1878 1 ***0*** 11'h666: return 8'b11110000; // **** 1879 1 ***0*** 11'h667: return 8'b01100000; // ** 1880 1 ***0*** 11'h668: return 8'b01100000; // ** 1881 1 ***0*** 11'h669: return 8'b01100000; // ** 1882 1 ***0*** 11'h66a: return 8'b01100000; // ** 1883 1 ***0*** 11'h66b: return 8'b11110000; // **** 1884 1 ***0*** 11'h66c: return 8'b00000000; // 1885 1 ***0*** 11'h66d: return 8'b00000000; // 1886 1 ***0*** 11'h66e: return 8'b00000000; // 1887 1 ***0*** 11'h66f: return 8'b00000000; // 1888 //code x67 1889 1 ***0*** 11'h670: return 8'b00000000; // 1890 1 ***0*** 11'h671: return 8'b00000000; // 1891 1 ***0*** 11'h672: return 8'b00000000; // 1892 1 ***0*** 11'h673: return 8'b00000000; // 1893 1 ***0*** 11'h674: return 8'b00000000; // 1894 1 ***0*** 11'h675: return 8'b01110110; // *** ** 1895 1 ***0*** 11'h676: return 8'b11001100; // ** ** 1896 1 ***0*** 11'h677: return 8'b11001100; // ** ** 1897 1 ***0*** 11'h678: return 8'b11001100; // ** ** 1898 1 ***0*** 11'h679: return 8'b11001100; // ** ** 1899 1 ***0*** 11'h67a: return 8'b11001100; // ** ** 1900 1 ***0*** 11'h67b: return 8'b01111100; // ***** 1901 1 ***0*** 11'h67c: return 8'b00001100; // ** 1902 1 ***0*** 11'h67d: return 8'b11001100; // ** ** 1903 1 ***0*** 11'h67e: return 8'b01111000; // **** 1904 1 ***0*** 11'h67f: return 8'b00000000; // 1905 //code x68 1906 1 ***0*** 11'h680: return 8'b00000000; // 1907 1 ***0*** 11'h681: return 8'b00000000; // 1908 1 ***0*** 11'h682: return 8'b11100000; // *** 1909 1 ***0*** 11'h683: return 8'b01100000; // ** 1910 1 ***0*** 11'h684: return 8'b01100000; // ** 1911 1 ***0*** 11'h685: return 8'b01101100; // ** ** 1912 1 ***0*** 11'h686: return 8'b01110110; // *** ** 1913 1 ***0*** 11'h687: return 8'b01100110; // ** ** 1914 1 ***0*** 11'h688: return 8'b01100110; // ** ** 1915 1 ***0*** 11'h689: return 8'b01100110; // ** ** 1916 1 ***0*** 11'h68a: return 8'b01100110; // ** ** 1917 1 ***0*** 11'h68b: return 8'b11100110; // *** ** 1918 1 ***0*** 11'h68c: return 8'b00000000; // 1919 1 ***0*** 11'h68d: return 8'b00000000; // 1920 1 ***0*** 11'h68e: return 8'b00000000; // 1921 1 ***0*** 11'h68f: return 8'b00000000; // 1922 //code x69 1923 1 8 11'h690: return 8'b00000000; // 1924 1 8 11'h691: return 8'b00000000; // 1925 1 8 11'h692: return 8'b00011000; // ** 1926 1 8 11'h693: return 8'b00011000; // ** 1927 1 8 11'h694: return 8'b00000000; // 1928 1 8 11'h695: return 8'b00111000; // *** 1929 1 8 11'h696: return 8'b00011000; // ** 1930 1 8 11'h697: return 8'b00011000; // ** 1931 1 8 11'h698: return 8'b00011000; // ** 1932 1 8 11'h699: return 8'b00011000; // ** 1933 1 8 11'h69a: return 8'b00011000; // ** 1934 1 8 11'h69b: return 8'b00111100; // **** 1935 1 8 11'h69c: return 8'b00000000; // 1936 1 8 11'h69d: return 8'b00000000; // 1937 1 8 11'h69e: return 8'b00000000; // 1938 1 8 11'h69f: return 8'b00000000; // 1939 //code x6a 1940 1 ***0*** 11'h6a0: return 8'b00000000; // 1941 1 ***0*** 11'h6a1: return 8'b00000000; // 1942 1 ***0*** 11'h6a2: return 8'b00000110; // ** 1943 1 ***0*** 11'h6a3: return 8'b00000110; // ** 1944 1 ***0*** 11'h6a4: return 8'b00000000; // 1945 1 ***0*** 11'h6a5: return 8'b00001110; // *** 1946 1 ***0*** 11'h6a6: return 8'b00000110; // ** 1947 1 ***0*** 11'h6a7: return 8'b00000110; // ** 1948 1 ***0*** 11'h6a8: return 8'b00000110; // ** 1949 1 ***0*** 11'h6a9: return 8'b00000110; // ** 1950 1 ***0*** 11'h6aa: return 8'b00000110; // ** 1951 1 ***0*** 11'h6ab: return 8'b00000110; // ** 1952 1 ***0*** 11'h6ac: return 8'b01100110; // ** ** 1953 1 ***0*** 11'h6ad: return 8'b01100110; // ** ** 1954 1 ***0*** 11'h6ae: return 8'b00111100; // **** 1955 1 ***0*** 11'h6af: return 8'b00000000; // 1956 //code x6b 1957 1 ***0*** 11'h6b0: return 8'b00000000; // 1958 1 ***0*** 11'h6b1: return 8'b00000000; // 1959 1 ***0*** 11'h6b2: return 8'b11100000; // *** 1960 1 ***0*** 11'h6b3: return 8'b01100000; // ** 1961 1 ***0*** 11'h6b4: return 8'b01100000; // ** 1962 1 ***0*** 11'h6b5: return 8'b01100110; // ** ** 1963 1 ***0*** 11'h6b6: return 8'b01101100; // ** ** 1964 1 ***0*** 11'h6b7: return 8'b01111000; // **** 1965 1 ***0*** 11'h6b8: return 8'b01111000; // **** 1966 1 ***0*** 11'h6b9: return 8'b01101100; // ** ** 1967 1 ***0*** 11'h6ba: return 8'b01100110; // ** ** 1968 1 ***0*** 11'h6bb: return 8'b11100110; // *** ** 1969 1 ***0*** 11'h6bc: return 8'b00000000; // 1970 1 ***0*** 11'h6bd: return 8'b00000000; // 1971 1 ***0*** 11'h6be: return 8'b00000000; // 1972 1 ***0*** 11'h6bf: return 8'b00000000; // 1973 //code x6c 1974 1 8 11'h6c0: return 8'b00000000; // 1975 1 8 11'h6c1: return 8'b00000000; // 1976 1 8 11'h6c2: return 8'b00111000; // *** 1977 1 8 11'h6c3: return 8'b00011000; // ** 1978 1 8 11'h6c4: return 8'b00011000; // ** 1979 1 8 11'h6c5: return 8'b00011000; // ** 1980 1 8 11'h6c6: return 8'b00011000; // ** 1981 1 8 11'h6c7: return 8'b00011000; // ** 1982 1 8 11'h6c8: return 8'b00011000; // ** 1983 1 8 11'h6c9: return 8'b00011000; // ** 1984 1 8 11'h6ca: return 8'b00011000; // ** 1985 1 8 11'h6cb: return 8'b00111100; // **** 1986 1 8 11'h6cc: return 8'b00000000; // 1987 1 8 11'h6cd: return 8'b00000000; // 1988 1 8 11'h6ce: return 8'b00000000; // 1989 1 8 11'h6cf: return 8'b00000000; // 1990 //code x6d 1991 1 ***0*** 11'h6d0: return 8'b00000000; // 1992 1 ***0*** 11'h6d1: return 8'b00000000; // 1993 1 ***0*** 11'h6d2: return 8'b00000000; // 1994 1 ***0*** 11'h6d3: return 8'b00000000; // 1995 1 ***0*** 11'h6d4: return 8'b00000000; // 1996 1 ***0*** 11'h6d5: return 8'b11100110; // *** ** 1997 1 ***0*** 11'h6d6: return 8'b11111111; // ******** 1998 1 ***0*** 11'h6d7: return 8'b11011011; // ** ** ** 1999 1 ***0*** 11'h6d8: return 8'b11011011; // ** ** ** 2000 1 ***0*** 11'h6d9: return 8'b11011011; // ** ** ** 2001 1 ***0*** 11'h6da: return 8'b11011011; // ** ** ** 2002 1 ***0*** 11'h6db: return 8'b11011011; // ** ** ** 2003 1 ***0*** 11'h6dc: return 8'b00000000; // 2004 1 ***0*** 11'h6dd: return 8'b00000000; // 2005 1 ***0*** 11'h6de: return 8'b00000000; // 2006 1 ***0*** 11'h6df: return 8'b00000000; // 2007 //code x6e 2008 1 ***0*** 11'h6e0: return 8'b00000000; // 2009 1 ***0*** 11'h6e1: return 8'b00000000; // 2010 1 ***0*** 11'h6e2: return 8'b00000000; // 2011 1 ***0*** 11'h6e3: return 8'b00000000; // 2012 1 ***0*** 11'h6e4: return 8'b00000000; // 2013 1 ***0*** 11'h6e5: return 8'b11011100; // ** *** 2014 1 ***0*** 11'h6e6: return 8'b01100110; // ** ** 2015 1 ***0*** 11'h6e7: return 8'b01100110; // ** ** 2016 1 ***0*** 11'h6e8: return 8'b01100110; // ** ** 2017 1 ***0*** 11'h6e9: return 8'b01100110; // ** ** 2018 1 ***0*** 11'h6ea: return 8'b01100110; // ** ** 2019 1 ***0*** 11'h6eb: return 8'b01100110; // ** ** 2020 1 ***0*** 11'h6ec: return 8'b00000000; // 2021 1 ***0*** 11'h6ed: return 8'b00000000; // 2022 1 ***0*** 11'h6ee: return 8'b00000000; // 2023 1 ***0*** 11'h6ef: return 8'b00000000; // 2024 //code x6f 2025 1 ***0*** 11'h6f0: return 8'b00000000; // 2026 1 ***0*** 11'h6f1: return 8'b00000000; // 2027 1 ***0*** 11'h6f2: return 8'b00000000; // 2028 1 ***0*** 11'h6f3: return 8'b00000000; // 2029 1 ***0*** 11'h6f4: return 8'b00000000; // 2030 1 ***0*** 11'h6f5: return 8'b01111100; // ***** 2031 1 ***0*** 11'h6f6: return 8'b11000110; // ** ** 2032 1 ***0*** 11'h6f7: return 8'b11000110; // ** ** 2033 1 ***0*** 11'h6f8: return 8'b11000110; // ** ** 2034 1 ***0*** 11'h6f9: return 8'b11000110; // ** ** 2035 1 ***0*** 11'h6fa: return 8'b11000110; // ** ** 2036 1 ***0*** 11'h6fb: return 8'b01111100; // ***** 2037 1 ***0*** 11'h6fc: return 8'b00000000; // 2038 1 ***0*** 11'h6fd: return 8'b00000000; // 2039 1 ***0*** 11'h6fe: return 8'b00000000; // 2040 1 ***0*** 11'h6ff: return 8'b00000000; // 2041 //code x70 2042 1 ***0*** 11'h700: return 8'b00000000; // 2043 1 ***0*** 11'h701: return 8'b00000000; // 2044 1 ***0*** 11'h702: return 8'b00000000; // 2045 1 ***0*** 11'h703: return 8'b00000000; // 2046 1 ***0*** 11'h704: return 8'b00000000; // 2047 1 ***0*** 11'h705: return 8'b11011100; // ** *** 2048 1 ***0*** 11'h706: return 8'b01100110; // ** ** 2049 1 ***0*** 11'h707: return 8'b01100110; // ** ** 2050 1 ***0*** 11'h708: return 8'b01100110; // ** ** 2051 1 ***0*** 11'h709: return 8'b01100110; // ** ** 2052 1 ***0*** 11'h70a: return 8'b01100110; // ** ** 2053 1 ***0*** 11'h70b: return 8'b01111100; // ***** 2054 1 ***0*** 11'h70c: return 8'b01100000; // ** 2055 1 ***0*** 11'h70d: return 8'b01100000; // ** 2056 1 ***0*** 11'h70e: return 8'b11110000; // **** 2057 1 ***0*** 11'h70f: return 8'b00000000; // 2058 //code x71 2059 1 ***0*** 11'h710: return 8'b00000000; // 2060 1 ***0*** 11'h711: return 8'b00000000; // 2061 1 ***0*** 11'h712: return 8'b00000000; // 2062 1 ***0*** 11'h713: return 8'b00000000; // 2063 1 ***0*** 11'h714: return 8'b00000000; // 2064 1 ***0*** 11'h715: return 8'b01110110; // *** ** 2065 1 ***0*** 11'h716: return 8'b11001100; // ** ** 2066 1 ***0*** 11'h717: return 8'b11001100; // ** ** 2067 1 ***0*** 11'h718: return 8'b11001100; // ** ** 2068 1 ***0*** 11'h719: return 8'b11001100; // ** ** 2069 1 ***0*** 11'h71a: return 8'b11001100; // ** ** 2070 1 ***0*** 11'h71b: return 8'b01111100; // ***** 2071 1 ***0*** 11'h71c: return 8'b00001100; // ** 2072 1 ***0*** 11'h71d: return 8'b00001100; // ** 2073 1 ***0*** 11'h71e: return 8'b00011110; // **** 2074 1 ***0*** 11'h71f: return 8'b00000000; // 2075 //code x72 2076 1 8 11'h720: return 8'b00000000; // 2077 1 8 11'h721: return 8'b00000000; // 2078 1 8 11'h722: return 8'b00000000; // 2079 1 8 11'h723: return 8'b00000000; // 2080 1 8 11'h724: return 8'b00000000; // 2081 1 8 11'h725: return 8'b11011100; // ** *** 2082 1 8 11'h726: return 8'b01110110; // *** ** 2083 1 8 11'h727: return 8'b01100110; // ** ** 2084 1 8 11'h728: return 8'b01100000; // ** 2085 1 8 11'h729: return 8'b01100000; // ** 2086 1 8 11'h72a: return 8'b01100000; // ** 2087 1 8 11'h72b: return 8'b11110000; // **** 2088 1 8 11'h72c: return 8'b00000000; // 2089 1 8 11'h72d: return 8'b00000000; // 2090 1 8 11'h72e: return 8'b00000000; // 2091 1 8 11'h72f: return 8'b00000000; // 2092 //code x73 2093 1 ***0*** 11'h730: return 8'b00000000; // 2094 1 ***0*** 11'h731: return 8'b00000000; // 2095 1 ***0*** 11'h732: return 8'b00000000; // 2096 1 ***0*** 11'h733: return 8'b00000000; // 2097 1 ***0*** 11'h734: return 8'b00000000; // 2098 1 ***0*** 11'h735: return 8'b01111100; // ***** 2099 1 ***0*** 11'h736: return 8'b11000110; // ** ** 2100 1 ***0*** 11'h737: return 8'b01100000; // ** 2101 1 ***0*** 11'h738: return 8'b00111000; // *** 2102 1 ***0*** 11'h739: return 8'b00001100; // ** 2103 1 ***0*** 11'h73a: return 8'b11000110; // ** ** 2104 1 ***0*** 11'h73b: return 8'b01111100; // ***** 2105 1 ***0*** 11'h73c: return 8'b00000000; // 2106 1 ***0*** 11'h73d: return 8'b00000000; // 2107 1 ***0*** 11'h73e: return 8'b00000000; // 2108 1 ***0*** 11'h73f: return 8'b00000000; // 2109 //code x74 2110 1 ***0*** 11'h740: return 8'b00000000; // 2111 1 ***0*** 11'h741: return 8'b00000000; // 2112 1 ***0*** 11'h742: return 8'b00010000; // * 2113 1 ***0*** 11'h743: return 8'b00110000; // ** 2114 1 ***0*** 11'h744: return 8'b00110000; // ** 2115 1 ***0*** 11'h745: return 8'b11111100; // ****** 2116 1 ***0*** 11'h746: return 8'b00110000; // ** 2117 1 ***0*** 11'h747: return 8'b00110000; // ** 2118 1 ***0*** 11'h748: return 8'b00110000; // ** 2119 1 ***0*** 11'h749: return 8'b00110000; // ** 2120 1 ***0*** 11'h74a: return 8'b00110110; // ** ** 2121 1 ***0*** 11'h74b: return 8'b00011100; // *** 2122 1 ***0*** 11'h74c: return 8'b00000000; // 2123 1 ***0*** 11'h74d: return 8'b00000000; // 2124 1 ***0*** 11'h74e: return 8'b00000000; // 2125 1 ***0*** 11'h74f: return 8'b00000000; // 2126 //code x75 2127 1 ***0*** 11'h750: return 8'b00000000; // 2128 1 ***0*** 11'h751: return 8'b00000000; // 2129 1 ***0*** 11'h752: return 8'b00000000; // 2130 1 ***0*** 11'h753: return 8'b00000000; // 2131 1 ***0*** 11'h754: return 8'b00000000; // 2132 1 ***0*** 11'h755: return 8'b11001100; // ** ** 2133 1 ***0*** 11'h756: return 8'b11001100; // ** ** 2134 1 ***0*** 11'h757: return 8'b11001100; // ** ** 2135 1 ***0*** 11'h758: return 8'b11001100; // ** ** 2136 1 ***0*** 11'h759: return 8'b11001100; // ** ** 2137 1 ***0*** 11'h75a: return 8'b11001100; // ** ** 2138 1 ***0*** 11'h75b: return 8'b01110110; // *** ** 2139 1 ***0*** 11'h75c: return 8'b00000000; // 2140 1 ***0*** 11'h75d: return 8'b00000000; // 2141 1 ***0*** 11'h75e: return 8'b00000000; // 2142 1 ***0*** 11'h75f: return 8'b00000000; // 2143 //code x76 2144 1 ***0*** 11'h760: return 8'b00000000; // 2145 1 ***0*** 11'h761: return 8'b00000000; // 2146 1 ***0*** 11'h762: return 8'b00000000; // 2147 1 ***0*** 11'h763: return 8'b00000000; // 2148 1 ***0*** 11'h764: return 8'b00000000; // 2149 1 ***0*** 11'h765: return 8'b11000011; // ** ** 2150 1 ***0*** 11'h766: return 8'b11000011; // ** ** 2151 1 ***0*** 11'h767: return 8'b11000011; // ** ** 2152 1 ***0*** 11'h768: return 8'b11000011; // ** ** 2153 1 ***0*** 11'h769: return 8'b01100110; // ** ** 2154 1 ***0*** 11'h76a: return 8'b00111100; // **** 2155 1 ***0*** 11'h76b: return 8'b00011000; // ** 2156 1 ***0*** 11'h76c: return 8'b00000000; // 2157 1 ***0*** 11'h76d: return 8'b00000000; // 2158 1 ***0*** 11'h76e: return 8'b00000000; // 2159 1 ***0*** 11'h76f: return 8'b00000000; // 2160 //code x77 2161 1 8 11'h770: return 8'b00000000; // 2162 1 8 11'h771: return 8'b00000000; // 2163 1 8 11'h772: return 8'b00000000; // 2164 1 8 11'h773: return 8'b00000000; // 2165 1 8 11'h774: return 8'b00000000; // 2166 1 8 11'h775: return 8'b11000011; // ** ** 2167 1 8 11'h776: return 8'b11000011; // ** ** 2168 1 8 11'h777: return 8'b11000011; // ** ** 2169 1 8 11'h778: return 8'b11011011; // ** ** ** 2170 1 8 11'h779: return 8'b11011011; // ** ** ** 2171 1 8 11'h77a: return 8'b11111111; // ******** 2172 1 8 11'h77b: return 8'b01100110; // ** ** 2173 1 8 11'h77c: return 8'b00000000; // 2174 1 8 11'h77d: return 8'b00000000; // 2175 1 8 11'h77e: return 8'b00000000; // 2176 1 8 11'h77f: return 8'b00000000; // 2177 //code x78 2178 1 ***0*** 11'h780: return 8'b00000000; // 2179 1 ***0*** 11'h781: return 8'b00000000; // 2180 1 ***0*** 11'h782: return 8'b00000000; // 2181 1 ***0*** 11'h783: return 8'b00000000; // 2182 1 ***0*** 11'h784: return 8'b00000000; // 2183 1 ***0*** 11'h785: return 8'b11000011; // ** ** 2184 1 ***0*** 11'h786: return 8'b01100110; // ** ** 2185 1 ***0*** 11'h787: return 8'b00111100; // **** 2186 1 ***0*** 11'h788: return 8'b00011000; // ** 2187 1 ***0*** 11'h789: return 8'b00111100; // **** 2188 1 ***0*** 11'h78a: return 8'b01100110; // ** ** 2189 1 ***0*** 11'h78b: return 8'b11000011; // ** ** 2190 1 ***0*** 11'h78c: return 8'b00000000; // 2191 1 ***0*** 11'h78d: return 8'b00000000; // 2192 1 ***0*** 11'h78e: return 8'b00000000; // 2193 1 ***0*** 11'h78f: return 8'b00000000; // 2194 //code x79 2195 1 ***0*** 11'h790: return 8'b00000000; // 2196 1 ***0*** 11'h791: return 8'b00000000; // 2197 1 ***0*** 11'h792: return 8'b00000000; // 2198 1 ***0*** 11'h793: return 8'b00000000; // 2199 1 ***0*** 11'h794: return 8'b00000000; // 2200 1 ***0*** 11'h795: return 8'b11000110; // ** ** 2201 1 ***0*** 11'h796: return 8'b11000110; // ** ** 2202 1 ***0*** 11'h797: return 8'b11000110; // ** ** 2203 1 ***0*** 11'h798: return 8'b11000110; // ** ** 2204 1 ***0*** 11'h799: return 8'b11000110; // ** ** 2205 1 ***0*** 11'h79a: return 8'b11000110; // ** ** 2206 1 ***0*** 11'h79b: return 8'b01111110; // ****** 2207 1 ***0*** 11'h79c: return 8'b00000110; // ** 2208 1 ***0*** 11'h79d: return 8'b00001100; // ** 2209 1 ***0*** 11'h79e: return 8'b11111000; // ***** 2210 1 ***0*** 11'h79f: return 8'b00000000; // 2211 //code x7a 2212 1 ***0*** 11'h7a0: return 8'b00000000; // 2213 1 ***0*** 11'h7a1: return 8'b00000000; // 2214 1 ***0*** 11'h7a2: return 8'b00000000; // 2215 1 ***0*** 11'h7a3: return 8'b00000000; // 2216 1 ***0*** 11'h7a4: return 8'b00000000; // 2217 1 ***0*** 11'h7a5: return 8'b11111110; // ******* 2218 1 ***0*** 11'h7a6: return 8'b11001100; // ** ** 2219 1 ***0*** 11'h7a7: return 8'b00011000; // ** 2220 1 ***0*** 11'h7a8: return 8'b00110000; // ** 2221 1 ***0*** 11'h7a9: return 8'b01100000; // ** 2222 1 ***0*** 11'h7aa: return 8'b11000110; // ** ** 2223 1 ***0*** 11'h7ab: return 8'b11111110; // ******* 2224 1 ***0*** 11'h7ac: return 8'b00000000; // 2225 1 ***0*** 11'h7ad: return 8'b00000000; // 2226 1 ***0*** 11'h7ae: return 8'b00000000; // 2227 1 ***0*** 11'h7af: return 8'b00000000; // 2228 //code x7b 2229 1 ***0*** 11'h7b0: return 8'b00000000; // 2230 1 ***0*** 11'h7b1: return 8'b00000000; // 2231 1 ***0*** 11'h7b2: return 8'b00001110; // *** 2232 1 ***0*** 11'h7b3: return 8'b00011000; // ** 2233 1 ***0*** 11'h7b4: return 8'b00011000; // ** 2234 1 ***0*** 11'h7b5: return 8'b00011000; // ** 2235 1 ***0*** 11'h7b6: return 8'b01110000; // *** 2236 1 ***0*** 11'h7b7: return 8'b00011000; // ** 2237 1 ***0*** 11'h7b8: return 8'b00011000; // ** 2238 1 ***0*** 11'h7b9: return 8'b00011000; // ** 2239 1 ***0*** 11'h7ba: return 8'b00011000; // ** 2240 1 ***0*** 11'h7bb: return 8'b00001110; // *** 2241 1 ***0*** 11'h7bc: return 8'b00000000; // 2242 1 ***0*** 11'h7bd: return 8'b00000000; // 2243 1 ***0*** 11'h7be: return 8'b00000000; // 2244 1 ***0*** 11'h7bf: return 8'b00000000; // 2245 //code x7c 2246 1 8 11'h7c0: return 8'b00000000; // 2247 1 8 11'h7c1: return 8'b00000000; // 2248 1 8 11'h7c2: return 8'b00011000; // ** 2249 1 8 11'h7c3: return 8'b00011000; // ** 2250 1 8 11'h7c4: return 8'b00011000; // ** 2251 1 8 11'h7c5: return 8'b00011000; // ** 2252 1 8 11'h7c6: return 8'b00000000; // 2253 1 8 11'h7c7: return 8'b00011000; // ** 2254 1 8 11'h7c8: return 8'b00011000; // ** 2255 1 8 11'h7c9: return 8'b00011000; // ** 2256 1 8 11'h7ca: return 8'b00011000; // ** 2257 1 8 11'h7cb: return 8'b00011000; // ** 2258 1 8 11'h7cc: return 8'b00000000; // 2259 1 8 11'h7cd: return 8'b00000000; // 2260 1 8 11'h7ce: return 8'b00000000; // 2261 1 8 11'h7cf: return 8'b00000000; // 2262 //code x7d 2263 1 ***0*** 11'h7d0: return 8'b00000000; // 2264 1 ***0*** 11'h7d1: return 8'b00000000; // 2265 1 ***0*** 11'h7d2: return 8'b01110000; // *** 2266 1 ***0*** 11'h7d3: return 8'b00011000; // ** 2267 1 ***0*** 11'h7d4: return 8'b00011000; // ** 2268 1 ***0*** 11'h7d5: return 8'b00011000; // ** 2269 1 ***0*** 11'h7d6: return 8'b00001110; // *** 2270 1 ***0*** 11'h7d7: return 8'b00011000; // ** 2271 1 ***0*** 11'h7d8: return 8'b00011000; // ** 2272 1 ***0*** 11'h7d9: return 8'b00011000; // ** 2273 1 ***0*** 11'h7da: return 8'b00011000; // ** 2274 1 ***0*** 11'h7db: return 8'b01110000; // *** 2275 1 ***0*** 11'h7dc: return 8'b00000000; // 2276 1 ***0*** 11'h7dd: return 8'b00000000; // 2277 1 ***0*** 11'h7de: return 8'b00000000; // 2278 1 ***0*** 11'h7df: return 8'b00000000; // 2279 //code x7e 2280 1 ***0*** 11'h7e0: return 8'b00000000; // 2281 1 ***0*** 11'h7e1: return 8'b00000000; // 2282 1 ***0*** 11'h7e2: return 8'b01110110; // *** ** 2283 1 ***0*** 11'h7e3: return 8'b11011100; // ** *** 2284 1 ***0*** 11'h7e4: return 8'b00000000; // 2285 1 ***0*** 11'h7e5: return 8'b00000000; // 2286 1 ***0*** 11'h7e6: return 8'b00000000; // 2287 1 ***0*** 11'h7e7: return 8'b00000000; // 2288 1 ***0*** 11'h7e8: return 8'b00000000; // 2289 1 ***0*** 11'h7e9: return 8'b00000000; // 2290 1 ***0*** 11'h7ea: return 8'b00000000; // 2291 1 ***0*** 11'h7eb: return 8'b00000000; // 2292 1 ***0*** 11'h7ec: return 8'b00000000; // 2293 1 ***0*** 11'h7ed: return 8'b00000000; // 2294 1 ***0*** 11'h7ee: return 8'b00000000; // 2295 1 ***0*** 11'h7ef: return 8'b00000000; // 2296 //code x7f 2297 1 ***0*** 11'h7f0: return 8'b00000000; // 2298 1 ***0*** 11'h7f1: return 8'b00000000; // 2299 1 ***0*** 11'h7f2: return 8'b00000000; // 2300 1 ***0*** 11'h7f3: return 8'b00000000; // 2301 1 ***0*** 11'h7f4: return 8'b00010000; // * 2302 1 ***0*** 11'h7f5: return 8'b00111000; // *** 2303 1 ***0*** 11'h7f6: return 8'b01101100; // ** ** 2304 1 ***0*** 11'h7f7: return 8'b11000110; // ** ** 2305 1 ***0*** 11'h7f8: return 8'b11000110; // ** ** 2306 1 ***0*** 11'h7f9: return 8'b11000110; // ** ** 2307 1 ***0*** 11'h7fa: return 8'b11111110; // ******* 2308 1 ***0*** 11'h7fb: return 8'b00000000; // 2309 1 ***0*** 11'h7fc: return 8'b00000000; // 2310 1 ***0*** 11'h7fd: return 8'b00000000; // 2311 1 ***0*** 11'h7fe: return 8'b00000000; // 2312 1 ***0*** 11'h7ff: return 8'b00000000; // 2313 endcase 2314 endfunction 2315 2316 endpackage Branch Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Branches 2049 496 1553 24.20 ================================Branch Details================================ Branch Coverage for file tbench/ahb_vga_font_map.sv -- ------------------------------------CASE Branch------------------------------------ 136 5744 Count coming in to CASE 138 1 120 11'h000: return 8'b00000000; // 139 1 120 11'h001: return 8'b00000000; // 140 1 120 11'h002: return 8'b00000000; // 141 1 120 11'h003: return 8'b00000000; // 142 1 120 11'h004: return 8'b00000000; // 143 1 120 11'h005: return 8'b00000000; // 144 1 120 11'h006: return 8'b00000000; // 145 1 120 11'h007: return 8'b00000000; // 146 1 120 11'h008: return 8'b00000000; // 147 1 120 11'h009: return 8'b00000000; // 148 1 120 11'h00a: return 8'b00000000; // 149 1 120 11'h00b: return 8'b00000000; // 150 1 120 11'h00c: return 8'b00000000; // 151 1 120 11'h00d: return 8'b00000000; // 152 1 120 11'h00e: return 8'b00000000; // 153 1 120 11'h00f: return 8'b00000000; // 155 1 8 11'h010: return 8'b00000000; // 156 1 8 11'h011: return 8'b00000000; // 157 1 8 11'h012: return 8'b01111110; // ****** 158 1 8 11'h013: return 8'b10000001; // * * 159 1 8 11'h014: return 8'b10100101; // * * * * 160 1 8 11'h015: return 8'b10000001; // * * 161 1 8 11'h016: return 8'b10000001; // * * 162 1 8 11'h017: return 8'b10111101; // * **** * 163 1 8 11'h018: return 8'b10011001; // * ** * 164 1 8 11'h019: return 8'b10000001; // * * 165 1 8 11'h01a: return 8'b10000001; // * * 166 1 8 11'h01b: return 8'b01111110; // ****** 167 1 8 11'h01c: return 8'b00000000; // 168 1 8 11'h01d: return 8'b00000000; // 169 1 8 11'h01e: return 8'b00000000; // 170 1 8 11'h01f: return 8'b00000000; // 172 1 ***0*** 11'h020: return 8'b00000000; // 173 1 ***0*** 11'h021: return 8'b00000000; // 174 1 ***0*** 11'h022: return 8'b01111110; // ****** 175 1 ***0*** 11'h023: return 8'b11111111; // ******** 176 1 ***0*** 11'h024: return 8'b11011011; // ** ** ** 177 1 ***0*** 11'h025: return 8'b11111111; // ******** 178 1 ***0*** 11'h026: return 8'b11111111; // ******** 179 1 ***0*** 11'h027: return 8'b11000011; // ** ** 180 1 ***0*** 11'h028: return 8'b11100111; // *** *** 181 1 ***0*** 11'h029: return 8'b11111111; // ******** 182 1 ***0*** 11'h02a: return 8'b11111111; // ******** 183 1 ***0*** 11'h02b: return 8'b01111110; // ****** 184 1 ***0*** 11'h02c: return 8'b00000000; // 185 1 ***0*** 11'h02d: return 8'b00000000; // 186 1 ***0*** 11'h02e: return 8'b00000000; // 187 1 ***0*** 11'h02f: return 8'b00000000; // 189 1 ***0*** 11'h030: return 8'b00000000; // 190 1 ***0*** 11'h031: return 8'b00000000; // 191 1 ***0*** 11'h032: return 8'b00000000; // 192 1 ***0*** 11'h033: return 8'b00000000; // 193 1 ***0*** 11'h034: return 8'b01101100; // ** ** 194 1 ***0*** 11'h035: return 8'b11111110; // ******* 195 1 ***0*** 11'h036: return 8'b11111110; // ******* 196 1 ***0*** 11'h037: return 8'b11111110; // ******* 197 1 ***0*** 11'h038: return 8'b11111110; // ******* 198 1 ***0*** 11'h039: return 8'b01111100; // ***** 199 1 ***0*** 11'h03a: return 8'b00111000; // *** 200 1 ***0*** 11'h03b: return 8'b00010000; // * 201 1 ***0*** 11'h03c: return 8'b00000000; // 202 1 ***0*** 11'h03d: return 8'b00000000; // 203 1 ***0*** 11'h03e: return 8'b00000000; // 204 1 ***0*** 11'h03f: return 8'b00000000; // 206 1 ***0*** 11'h040: return 8'b00000000; // 207 1 ***0*** 11'h041: return 8'b00000000; // 208 1 ***0*** 11'h042: return 8'b00000000; // 209 1 ***0*** 11'h043: return 8'b00000000; // 210 1 ***0*** 11'h044: return 8'b00010000; // * 211 1 ***0*** 11'h045: return 8'b00111000; // *** 212 1 ***0*** 11'h046: return 8'b01111100; // ***** 213 1 ***0*** 11'h047: return 8'b11111110; // ******* 214 1 ***0*** 11'h048: return 8'b01111100; // ***** 215 1 ***0*** 11'h049: return 8'b00111000; // *** 216 1 ***0*** 11'h04a: return 8'b00010000; // * 217 1 ***0*** 11'h04b: return 8'b00000000; // 218 1 ***0*** 11'h04c: return 8'b00000000; // 219 1 ***0*** 11'h04d: return 8'b00000000; // 220 1 ***0*** 11'h04e: return 8'b00000000; // 221 1 ***0*** 11'h04f: return 8'b00000000; // 223 1 ***0*** 11'h050: return 8'b00000000; // 224 1 ***0*** 11'h051: return 8'b00000000; // 225 1 ***0*** 11'h052: return 8'b00000000; // 226 1 ***0*** 11'h053: return 8'b00011000; // ** 227 1 ***0*** 11'h054: return 8'b00111100; // **** 228 1 ***0*** 11'h055: return 8'b00111100; // **** 229 1 ***0*** 11'h056: return 8'b11100111; // *** *** 230 1 ***0*** 11'h057: return 8'b11100111; // *** *** 231 1 ***0*** 11'h058: return 8'b11100111; // *** *** 232 1 ***0*** 11'h059: return 8'b00011000; // ** 233 1 ***0*** 11'h05a: return 8'b00011000; // ** 234 1 ***0*** 11'h05b: return 8'b00111100; // **** 235 1 ***0*** 11'h05c: return 8'b00000000; // 236 1 ***0*** 11'h05d: return 8'b00000000; // 237 1 ***0*** 11'h05e: return 8'b00000000; // 238 1 ***0*** 11'h05f: return 8'b00000000; // 240 1 8 11'h060: return 8'b00000000; // 241 1 8 11'h061: return 8'b00000000; // 242 1 8 11'h062: return 8'b00000000; // 243 1 8 11'h063: return 8'b00011000; // ** 244 1 8 11'h064: return 8'b00111100; // **** 245 1 8 11'h065: return 8'b01111110; // ****** 246 1 8 11'h066: return 8'b11111111; // ******** 247 1 8 11'h067: return 8'b11111111; // ******** 248 1 8 11'h068: return 8'b01111110; // ****** 249 1 8 11'h069: return 8'b00011000; // ** 250 1 8 11'h06a: return 8'b00011000; // ** 251 1 8 11'h06b: return 8'b00111100; // **** 252 1 8 11'h06c: return 8'b00000000; // 253 1 8 11'h06d: return 8'b00000000; // 254 1 8 11'h06e: return 8'b00000000; // 255 1 8 11'h06f: return 8'b00000000; // 257 1 ***0*** 11'h070: return 8'b00000000; // 258 1 ***0*** 11'h071: return 8'b00000000; // 259 1 ***0*** 11'h072: return 8'b00000000; // 260 1 ***0*** 11'h073: return 8'b00000000; // 261 1 ***0*** 11'h074: return 8'b00000000; // 262 1 ***0*** 11'h075: return 8'b00000000; // 263 1 ***0*** 11'h076: return 8'b00011000; // ** 264 1 ***0*** 11'h077: return 8'b00111100; // **** 265 1 ***0*** 11'h078: return 8'b00111100; // **** 266 1 ***0*** 11'h079: return 8'b00011000; // ** 267 1 ***0*** 11'h07a: return 8'b00000000; // 268 1 ***0*** 11'h07b: return 8'b00000000; // 269 1 ***0*** 11'h07c: return 8'b00000000; // 270 1 ***0*** 11'h07d: return 8'b00000000; // 271 1 ***0*** 11'h07e: return 8'b00000000; // 272 1 ***0*** 11'h07f: return 8'b00000000; // 274 1 ***0*** 11'h080: return 8'b00000000; // 275 1 ***0*** 11'h081: return 8'b00000000; // 276 1 ***0*** 11'h082: return 8'b00000000; // 277 1 ***0*** 11'h083: return 8'b00000000; // 278 1 ***0*** 11'h084: return 8'b00000000; // 279 1 ***0*** 11'h085: return 8'b00000000; // 280 1 ***0*** 11'h086: return 8'b00000000; // 281 1 ***0*** 11'h087: return 8'b00000000; // 282 1 ***0*** 11'h088: return 8'b00000000; // 283 1 ***0*** 11'h089: return 8'b00000000; // 284 1 ***0*** 11'h08a: return 8'b00000000; // 285 1 ***0*** 11'h08b: return 8'b00000000; // 286 1 ***0*** 11'h08c: return 8'b00000000; // 287 1 ***0*** 11'h08d: return 8'b00000000; // 288 1 ***0*** 11'h08e: return 8'b00000000; // 289 1 ***0*** 11'h08f: return 8'b00000000; // 291 1 ***0*** 11'h090: return 8'b00000000; // 292 1 ***0*** 11'h091: return 8'b00000000; // 293 1 ***0*** 11'h092: return 8'b00000000; // 294 1 ***0*** 11'h093: return 8'b00000000; // 295 1 ***0*** 11'h094: return 8'b00000000; // 296 1 ***0*** 11'h095: return 8'b00111100; // **** 297 1 ***0*** 11'h096: return 8'b01100110; // ** ** 298 1 ***0*** 11'h097: return 8'b01000010; // * * 299 1 ***0*** 11'h098: return 8'b01000010; // * * 300 1 ***0*** 11'h099: return 8'b01100110; // ** ** 301 1 ***0*** 11'h09a: return 8'b00111100; // **** 302 1 ***0*** 11'h09b: return 8'b00000000; // 303 1 ***0*** 11'h09c: return 8'b00000000; // 304 1 ***0*** 11'h09d: return 8'b00000000; // 305 1 ***0*** 11'h09e: return 8'b00000000; // 306 1 ***0*** 11'h09f: return 8'b00000000; // 308 1 ***0*** 11'h0a0: return 8'b00000000; // 309 1 ***0*** 11'h0a1: return 8'b00000000; // 310 1 ***0*** 11'h0a2: return 8'b00000000; // 311 1 ***0*** 11'h0a3: return 8'b00000000; // 312 1 ***0*** 11'h0a4: return 8'b00000000; // 313 1 ***0*** 11'h0a5: return 8'b00000000; // 314 1 ***0*** 11'h0a6: return 8'b00000000; // 315 1 ***0*** 11'h0a7: return 8'b00000000; // 316 1 ***0*** 11'h0a8: return 8'b00000000; // 317 1 ***0*** 11'h0a9: return 8'b00000000; // 318 1 ***0*** 11'h0aa: return 8'b00000000; // 319 1 ***0*** 11'h0ab: return 8'b00000000; // 320 1 ***0*** 11'h0ac: return 8'b00000000; // 321 1 ***0*** 11'h0ad: return 8'b00000000; // 322 1 ***0*** 11'h0ae: return 8'b00000000; // 323 1 ***0*** 11'h0af: return 8'b00000000; // 325 1 8 11'h0b0: return 8'b00000000; // 326 1 8 11'h0b1: return 8'b00000000; // 327 1 8 11'h0b2: return 8'b00011110; // **** 328 1 8 11'h0b3: return 8'b00001110; // *** 329 1 8 11'h0b4: return 8'b00011010; // ** * 330 1 8 11'h0b5: return 8'b00110010; // ** * 331 1 8 11'h0b6: return 8'b01111000; // **** 332 1 8 11'h0b7: return 8'b11001100; // ** ** 333 1 8 11'h0b8: return 8'b11001100; // ** ** 334 1 8 11'h0b9: return 8'b11001100; // ** ** 335 1 8 11'h0ba: return 8'b11001100; // ** ** 336 1 8 11'h0bb: return 8'b01111000; // **** 337 1 8 11'h0bc: return 8'b00000000; // 338 1 8 11'h0bd: return 8'b00000000; // 339 1 8 11'h0be: return 8'b00000000; // 340 1 8 11'h0bf: return 8'b00000000; // 342 1 ***0*** 11'h0c0: return 8'b00000000; // 343 1 ***0*** 11'h0c1: return 8'b00000000; // 344 1 ***0*** 11'h0c2: return 8'b00111100; // **** 345 1 ***0*** 11'h0c3: return 8'b01100110; // ** ** 346 1 ***0*** 11'h0c4: return 8'b01100110; // ** ** 347 1 ***0*** 11'h0c5: return 8'b01100110; // ** ** 348 1 ***0*** 11'h0c6: return 8'b01100110; // ** ** 349 1 ***0*** 11'h0c7: return 8'b00111100; // **** 350 1 ***0*** 11'h0c8: return 8'b00011000; // ** 351 1 ***0*** 11'h0c9: return 8'b01111110; // ****** 352 1 ***0*** 11'h0ca: return 8'b00011000; // ** 353 1 ***0*** 11'h0cb: return 8'b00011000; // ** 354 1 ***0*** 11'h0cc: return 8'b00000000; // 355 1 ***0*** 11'h0cd: return 8'b00000000; // 356 1 ***0*** 11'h0ce: return 8'b00000000; // 357 1 ***0*** 11'h0cf: return 8'b00000000; // 359 1 ***0*** 11'h0d0: return 8'b00000000; // 360 1 ***0*** 11'h0d1: return 8'b00000000; // 361 1 ***0*** 11'h0d2: return 8'b00000000; // 362 1 ***0*** 11'h0d3: return 8'b00000000; // 363 1 ***0*** 11'h0d4: return 8'b00000000; // 364 1 ***0*** 11'h0d5: return 8'b00000000; // 365 1 ***0*** 11'h0d6: return 8'b00000000; // 366 1 ***0*** 11'h0d7: return 8'b00000000; // 367 1 ***0*** 11'h0d8: return 8'b00000000; // 368 1 ***0*** 11'h0d9: return 8'b00000000; // 369 1 ***0*** 11'h0da: return 8'b00000000; // 370 1 ***0*** 11'h0db: return 8'b00000000; // 371 1 ***0*** 11'h0dc: return 8'b00000000; // 372 1 ***0*** 11'h0dd: return 8'b00000000; // 373 1 ***0*** 11'h0de: return 8'b00000000; // 374 1 ***0*** 11'h0df: return 8'b00000000; // 376 1 8 11'h0e0: return 8'b00000000; // 377 1 8 11'h0e1: return 8'b00000000; // 378 1 8 11'h0e2: return 8'b01111111; // ******* 379 1 8 11'h0e3: return 8'b01100011; // ** ** 380 1 8 11'h0e4: return 8'b01111111; // ******* 381 1 8 11'h0e5: return 8'b01100011; // ** ** 382 1 8 11'h0e6: return 8'b01100011; // ** ** 383 1 8 11'h0e7: return 8'b01100011; // ** ** 384 1 8 11'h0e8: return 8'b01100011; // ** ** 385 1 8 11'h0e9: return 8'b01100111; // ** *** 386 1 8 11'h0ea: return 8'b11100111; // *** *** 387 1 8 11'h0eb: return 8'b11100110; // *** ** 388 1 8 11'h0ec: return 8'b11000000; // ** 389 1 8 11'h0ed: return 8'b00000000; // 390 1 8 11'h0ee: return 8'b00000000; // 391 1 8 11'h0ef: return 8'b00000000; // 393 1 ***0*** 11'h0f0: return 8'b00000000; // 394 1 ***0*** 11'h0f1: return 8'b00000000; // 395 1 ***0*** 11'h0f2: return 8'b00000000; // 396 1 ***0*** 11'h0f3: return 8'b00011000; // ** 397 1 ***0*** 11'h0f4: return 8'b00011000; // ** 398 1 ***0*** 11'h0f5: return 8'b11011011; // ** ** ** 399 1 ***0*** 11'h0f6: return 8'b00111100; // **** 400 1 ***0*** 11'h0f7: return 8'b11100111; // *** *** 401 1 ***0*** 11'h0f8: return 8'b00111100; // **** 402 1 ***0*** 11'h0f9: return 8'b11011011; // ** ** ** 403 1 ***0*** 11'h0fa: return 8'b00011000; // ** 404 1 ***0*** 11'h0fb: return 8'b00011000; // ** 405 1 ***0*** 11'h0fc: return 8'b00000000; // 406 1 ***0*** 11'h0fd: return 8'b00000000; // 407 1 ***0*** 11'h0fe: return 8'b00000000; // 408 1 ***0*** 11'h0ff: return 8'b00000000; // 410 1 ***0*** 11'h100: return 8'b00000000; // 411 1 ***0*** 11'h101: return 8'b10000000; // * 412 1 ***0*** 11'h102: return 8'b11000000; // ** 413 1 ***0*** 11'h103: return 8'b11100000; // *** 414 1 ***0*** 11'h104: return 8'b11110000; // **** 415 1 ***0*** 11'h105: return 8'b11111000; // ***** 416 1 ***0*** 11'h106: return 8'b11111110; // ******* 417 1 ***0*** 11'h107: return 8'b11111000; // ***** 418 1 ***0*** 11'h108: return 8'b11110000; // **** 419 1 ***0*** 11'h109: return 8'b11100000; // *** 420 1 ***0*** 11'h10a: return 8'b11000000; // ** 421 1 ***0*** 11'h10b: return 8'b10000000; // * 422 1 ***0*** 11'h10c: return 8'b00000000; // 423 1 ***0*** 11'h10d: return 8'b00000000; // 424 1 ***0*** 11'h10e: return 8'b00000000; // 425 1 ***0*** 11'h10f: return 8'b00000000; // 427 1 8 11'h110: return 8'b00000000; // 428 1 8 11'h111: return 8'b00000010; // * 429 1 8 11'h112: return 8'b00000110; // ** 430 1 8 11'h113: return 8'b00001110; // *** 431 1 8 11'h114: return 8'b00011110; // **** 432 1 8 11'h115: return 8'b00111110; // ***** 433 1 8 11'h116: return 8'b11111110; // ******* 434 1 8 11'h117: return 8'b00111110; // ***** 435 1 8 11'h118: return 8'b00011110; // **** 436 1 8 11'h119: return 8'b00001110; // *** 437 1 8 11'h11a: return 8'b00000110; // ** 438 1 8 11'h11b: return 8'b00000010; // * 439 1 8 11'h11c: return 8'b00000000; // 440 1 8 11'h11d: return 8'b00000000; // 441 1 8 11'h11e: return 8'b00000000; // 442 1 8 11'h11f: return 8'b00000000; // 444 1 ***0*** 11'h120: return 8'b00000000; // 445 1 ***0*** 11'h121: return 8'b00000000; // 446 1 ***0*** 11'h122: return 8'b00011000; // ** 447 1 ***0*** 11'h123: return 8'b00111100; // **** 448 1 ***0*** 11'h124: return 8'b01111110; // ****** 449 1 ***0*** 11'h125: return 8'b00011000; // ** 450 1 ***0*** 11'h126: return 8'b00011000; // ** 451 1 ***0*** 11'h127: return 8'b00011000; // ** 452 1 ***0*** 11'h128: return 8'b01111110; // ****** 453 1 ***0*** 11'h129: return 8'b00111100; // **** 454 1 ***0*** 11'h12a: return 8'b00011000; // ** 455 1 ***0*** 11'h12b: return 8'b00000000; // 456 1 ***0*** 11'h12c: return 8'b00000000; // 457 1 ***0*** 11'h12d: return 8'b00000000; // 458 1 ***0*** 11'h12e: return 8'b00000000; // 459 1 ***0*** 11'h12f: return 8'b00000000; // 461 1 ***0*** 11'h130: return 8'b00000000; // 462 1 ***0*** 11'h131: return 8'b00000000; // 463 1 ***0*** 11'h132: return 8'b01100110; // ** ** 464 1 ***0*** 11'h133: return 8'b01100110; // ** ** 465 1 ***0*** 11'h134: return 8'b01100110; // ** ** 466 1 ***0*** 11'h135: return 8'b01100110; // ** ** 467 1 ***0*** 11'h136: return 8'b01100110; // ** ** 468 1 ***0*** 11'h137: return 8'b01100110; // ** ** 469 1 ***0*** 11'h138: return 8'b01100110; // ** ** 470 1 ***0*** 11'h139: return 8'b00000000; // 471 1 ***0*** 11'h13a: return 8'b01100110; // ** ** 472 1 ***0*** 11'h13b: return 8'b01100110; // ** ** 473 1 ***0*** 11'h13c: return 8'b00000000; // 474 1 ***0*** 11'h13d: return 8'b00000000; // 475 1 ***0*** 11'h13e: return 8'b00000000; // 476 1 ***0*** 11'h13f: return 8'b00000000; // 478 1 8 11'h140: return 8'b00000000; // 479 1 8 11'h141: return 8'b00000000; // 480 1 8 11'h142: return 8'b01111111; // ******* 481 1 8 11'h143: return 8'b11011011; // ** ** ** 482 1 8 11'h144: return 8'b11011011; // ** ** ** 483 1 8 11'h145: return 8'b11011011; // ** ** ** 484 1 8 11'h146: return 8'b01111011; // **** ** 485 1 8 11'h147: return 8'b00011011; // ** ** 486 1 8 11'h148: return 8'b00011011; // ** ** 487 1 8 11'h149: return 8'b00011011; // ** ** 488 1 8 11'h14a: return 8'b00011011; // ** ** 489 1 8 11'h14b: return 8'b00011011; // ** ** 490 1 8 11'h14c: return 8'b00000000; // 491 1 8 11'h14d: return 8'b00000000; // 492 1 8 11'h14e: return 8'b00000000; // 493 1 8 11'h14f: return 8'b00000000; // 495 1 ***0*** 11'h150: return 8'b00000000; // 496 1 ***0*** 11'h151: return 8'b01111100; // ***** 497 1 ***0*** 11'h152: return 8'b11000110; // ** ** 498 1 ***0*** 11'h153: return 8'b01100000; // ** 499 1 ***0*** 11'h154: return 8'b00111000; // *** 500 1 ***0*** 11'h155: return 8'b01101100; // ** ** 501 1 ***0*** 11'h156: return 8'b11000110; // ** ** 502 1 ***0*** 11'h157: return 8'b11000110; // ** ** 503 1 ***0*** 11'h158: return 8'b01101100; // ** ** 504 1 ***0*** 11'h159: return 8'b00111000; // *** 505 1 ***0*** 11'h15a: return 8'b00001100; // ** 506 1 ***0*** 11'h15b: return 8'b11000110; // ** ** 507 1 ***0*** 11'h15c: return 8'b01111100; // ***** 508 1 ***0*** 11'h15d: return 8'b00000000; // 509 1 ***0*** 11'h15e: return 8'b00000000; // 510 1 ***0*** 11'h15f: return 8'b00000000; // 512 1 ***0*** 11'h160: return 8'b00000000; // 513 1 ***0*** 11'h161: return 8'b00000000; // 514 1 ***0*** 11'h162: return 8'b00000000; // 515 1 ***0*** 11'h163: return 8'b00000000; // 516 1 ***0*** 11'h164: return 8'b00000000; // 517 1 ***0*** 11'h165: return 8'b00000000; // 518 1 ***0*** 11'h166: return 8'b00000000; // 519 1 ***0*** 11'h167: return 8'b00000000; // 520 1 ***0*** 11'h168: return 8'b11111110; // ******* 521 1 ***0*** 11'h169: return 8'b11111110; // ******* 522 1 ***0*** 11'h16a: return 8'b11111110; // ******* 523 1 ***0*** 11'h16b: return 8'b11111110; // ******* 524 1 ***0*** 11'h16c: return 8'b00000000; // 525 1 ***0*** 11'h16d: return 8'b00000000; // 526 1 ***0*** 11'h16e: return 8'b00000000; // 527 1 ***0*** 11'h16f: return 8'b00000000; // 529 1 ***0*** 11'h170: return 8'b00000000; // 530 1 ***0*** 11'h171: return 8'b00000000; // 531 1 ***0*** 11'h172: return 8'b00011000; // ** 532 1 ***0*** 11'h173: return 8'b00111100; // **** 533 1 ***0*** 11'h174: return 8'b01111110; // ****** 534 1 ***0*** 11'h175: return 8'b00011000; // ** 535 1 ***0*** 11'h176: return 8'b00011000; // ** 536 1 ***0*** 11'h177: return 8'b00011000; // ** 537 1 ***0*** 11'h178: return 8'b01111110; // ****** 538 1 ***0*** 11'h179: return 8'b00111100; // **** 539 1 ***0*** 11'h17a: return 8'b00011000; // ** 540 1 ***0*** 11'h17b: return 8'b01111110; // ****** 541 1 ***0*** 11'h17c: return 8'b00110000; // 542 1 ***0*** 11'h17d: return 8'b00000000; // 543 1 ***0*** 11'h17e: return 8'b00000000; // 544 1 ***0*** 11'h17f: return 8'b00000000; // 546 1 ***0*** 11'h180: return 8'b00000000; // 547 1 ***0*** 11'h181: return 8'b00000000; // 548 1 ***0*** 11'h182: return 8'b00011000; // ** 549 1 ***0*** 11'h183: return 8'b00111100; // **** 550 1 ***0*** 11'h184: return 8'b01111110; // ****** 551 1 ***0*** 11'h185: return 8'b00011000; // ** 552 1 ***0*** 11'h186: return 8'b00011000; // ** 553 1 ***0*** 11'h187: return 8'b00011000; // ** 554 1 ***0*** 11'h188: return 8'b00011000; // ** 555 1 ***0*** 11'h189: return 8'b00011000; // ** 556 1 ***0*** 11'h18a: return 8'b00011000; // ** 557 1 ***0*** 11'h18b: return 8'b00011000; // ** 558 1 ***0*** 11'h18c: return 8'b00000000; // 559 1 ***0*** 11'h18d: return 8'b00000000; // 560 1 ***0*** 11'h18e: return 8'b00000000; // 561 1 ***0*** 11'h18f: return 8'b00000000; // 563 1 8 11'h190: return 8'b00000000; // 564 1 8 11'h191: return 8'b00000000; // 565 1 8 11'h192: return 8'b00011000; // ** 566 1 8 11'h193: return 8'b00011000; // ** 567 1 8 11'h194: return 8'b00011000; // ** 568 1 8 11'h195: return 8'b00011000; // ** 569 1 8 11'h196: return 8'b00011000; // ** 570 1 8 11'h197: return 8'b00011000; // ** 571 1 8 11'h198: return 8'b00011000; // ** 572 1 8 11'h199: return 8'b01111110; // ****** 573 1 8 11'h19a: return 8'b00111100; // **** 574 1 8 11'h19b: return 8'b00011000; // ** 575 1 8 11'h19c: return 8'b00000000; // 576 1 8 11'h19d: return 8'b00000000; // 577 1 8 11'h19e: return 8'b00000000; // 578 1 8 11'h19f: return 8'b00000000; // 580 1 ***0*** 11'h1a0: return 8'b00000000; // 581 1 ***0*** 11'h1a1: return 8'b00000000; // 582 1 ***0*** 11'h1a2: return 8'b00000000; // 583 1 ***0*** 11'h1a3: return 8'b00000000; // 584 1 ***0*** 11'h1a4: return 8'b00000000; // 585 1 ***0*** 11'h1a5: return 8'b00011000; // ** 586 1 ***0*** 11'h1a6: return 8'b00001100; // ** 587 1 ***0*** 11'h1a7: return 8'b11111110; // ******* 588 1 ***0*** 11'h1a8: return 8'b00001100; // ** 589 1 ***0*** 11'h1a9: return 8'b00011000; // ** 590 1 ***0*** 11'h1aa: return 8'b00000000; // 591 1 ***0*** 11'h1ab: return 8'b00000000; // 592 1 ***0*** 11'h1ac: return 8'b00000000; // 593 1 ***0*** 11'h1ad: return 8'b00000000; // 594 1 ***0*** 11'h1ae: return 8'b00000000; // 595 1 ***0*** 11'h1af: return 8'b00000000; // 597 1 ***0*** 11'h1b0: return 8'b00000000; // 598 1 ***0*** 11'h1b1: return 8'b00000000; // 599 1 ***0*** 11'h1b2: return 8'b00000000; // 600 1 ***0*** 11'h1b3: return 8'b00000000; // 601 1 ***0*** 11'h1b4: return 8'b00000000; // 602 1 ***0*** 11'h1b5: return 8'b00110000; // ** 603 1 ***0*** 11'h1b6: return 8'b01100000; // ** 604 1 ***0*** 11'h1b7: return 8'b11111110; // ******* 605 1 ***0*** 11'h1b8: return 8'b01100000; // ** 606 1 ***0*** 11'h1b9: return 8'b00110000; // ** 607 1 ***0*** 11'h1ba: return 8'b00000000; // 608 1 ***0*** 11'h1bb: return 8'b00000000; // 609 1 ***0*** 11'h1bc: return 8'b00000000; // 610 1 ***0*** 11'h1bd: return 8'b00000000; // 611 1 ***0*** 11'h1be: return 8'b00000000; // 612 1 ***0*** 11'h1bf: return 8'b00000000; // 614 1 ***0*** 11'h1c0: return 8'b00000000; // 615 1 ***0*** 11'h1c1: return 8'b00000000; // 616 1 ***0*** 11'h1c2: return 8'b00000000; // 617 1 ***0*** 11'h1c3: return 8'b00000000; // 618 1 ***0*** 11'h1c4: return 8'b00000000; // 619 1 ***0*** 11'h1c5: return 8'b00000000; // 620 1 ***0*** 11'h1c6: return 8'b11000000; // ** 621 1 ***0*** 11'h1c7: return 8'b11000000; // ** 622 1 ***0*** 11'h1c8: return 8'b11000000; // ** 623 1 ***0*** 11'h1c9: return 8'b11111110; // ******* 624 1 ***0*** 11'h1ca: return 8'b00000000; // 625 1 ***0*** 11'h1cb: return 8'b00000000; // 626 1 ***0*** 11'h1cc: return 8'b00000000; // 627 1 ***0*** 11'h1cd: return 8'b00000000; // 628 1 ***0*** 11'h1ce: return 8'b00000000; // 629 1 ***0*** 11'h1cf: return 8'b00000000; // 631 1 ***0*** 11'h1d0: return 8'b00000000; // 632 1 ***0*** 11'h1d1: return 8'b00000000; // 633 1 ***0*** 11'h1d2: return 8'b00000000; // 634 1 ***0*** 11'h1d3: return 8'b00000000; // 635 1 ***0*** 11'h1d4: return 8'b00000000; // 636 1 ***0*** 11'h1d5: return 8'b00100100; // * * 637 1 ***0*** 11'h1d6: return 8'b01100110; // ** ** 638 1 ***0*** 11'h1d7: return 8'b11111111; // ******** 639 1 ***0*** 11'h1d8: return 8'b01100110; // ** ** 640 1 ***0*** 11'h1d9: return 8'b00100100; // * * 641 1 ***0*** 11'h1da: return 8'b00000000; // 642 1 ***0*** 11'h1db: return 8'b00000000; // 643 1 ***0*** 11'h1dc: return 8'b00000000; // 644 1 ***0*** 11'h1dd: return 8'b00000000; // 645 1 ***0*** 11'h1de: return 8'b00000000; // 646 1 ***0*** 11'h1df: return 8'b00000000; // 648 1 8 11'h1e0: return 8'b00000000; // 649 1 8 11'h1e1: return 8'b00000000; // 650 1 8 11'h1e2: return 8'b00000000; // 651 1 8 11'h1e3: return 8'b00000000; // 652 1 8 11'h1e4: return 8'b00010000; // * 653 1 8 11'h1e5: return 8'b00111000; // *** 654 1 8 11'h1e6: return 8'b00111000; // *** 655 1 8 11'h1e7: return 8'b01111100; // ***** 656 1 8 11'h1e8: return 8'b01111100; // ***** 657 1 8 11'h1e9: return 8'b11111110; // ******* 658 1 8 11'h1ea: return 8'b11111110; // ******* 659 1 8 11'h1eb: return 8'b00000000; // 660 1 8 11'h1ec: return 8'b00000000; // 661 1 8 11'h1ed: return 8'b00000000; // 662 1 8 11'h1ee: return 8'b00000000; // 663 1 8 11'h1ef: return 8'b00000000; // 665 1 ***0*** 11'h1f0: return 8'b00000000; // 666 1 ***0*** 11'h1f1: return 8'b00000000; // 667 1 ***0*** 11'h1f2: return 8'b00000000; // 668 1 ***0*** 11'h1f3: return 8'b00000000; // 669 1 ***0*** 11'h1f4: return 8'b11111110; // ******* 670 1 ***0*** 11'h1f5: return 8'b11111110; // ******* 671 1 ***0*** 11'h1f6: return 8'b01111100; // ***** 672 1 ***0*** 11'h1f7: return 8'b01111100; // ***** 673 1 ***0*** 11'h1f8: return 8'b00111000; // *** 674 1 ***0*** 11'h1f9: return 8'b00111000; // *** 675 1 ***0*** 11'h1fa: return 8'b00010000; // * 676 1 ***0*** 11'h1fb: return 8'b00000000; // 677 1 ***0*** 11'h1fc: return 8'b00000000; // 678 1 ***0*** 11'h1fd: return 8'b00000000; // 679 1 ***0*** 11'h1fe: return 8'b00000000; // 680 1 ***0*** 11'h1ff: return 8'b00000000; // 682 1 8 11'h200: return 8'b00000000; // 683 1 8 11'h201: return 8'b00000000; // 684 1 8 11'h202: return 8'b00000000; // 685 1 8 11'h203: return 8'b00000000; // 686 1 8 11'h204: return 8'b00000000; // 687 1 8 11'h205: return 8'b00000000; // 688 1 8 11'h206: return 8'b00000000; // 689 1 8 11'h207: return 8'b00000000; // 690 1 8 11'h208: return 8'b00000000; // 691 1 8 11'h209: return 8'b00000000; // 692 1 8 11'h20a: return 8'b00000000; // 693 1 8 11'h20b: return 8'b00000000; // 694 1 8 11'h20c: return 8'b00000000; // 695 1 8 11'h20d: return 8'b00000000; // 696 1 8 11'h20e: return 8'b00000000; // 697 1 8 11'h20f: return 8'b00000000; // 699 1 ***0*** 11'h210: return 8'b00000000; // 700 1 ***0*** 11'h211: return 8'b00000000; // 701 1 ***0*** 11'h212: return 8'b00011000; // ** 702 1 ***0*** 11'h213: return 8'b00111100; // **** 703 1 ***0*** 11'h214: return 8'b00111100; // **** 704 1 ***0*** 11'h215: return 8'b00111100; // **** 705 1 ***0*** 11'h216: return 8'b00011000; // ** 706 1 ***0*** 11'h217: return 8'b00011000; // ** 707 1 ***0*** 11'h218: return 8'b00011000; // ** 708 1 ***0*** 11'h219: return 8'b00000000; // 709 1 ***0*** 11'h21a: return 8'b00011000; // ** 710 1 ***0*** 11'h21b: return 8'b00011000; // ** 711 1 ***0*** 11'h21c: return 8'b00000000; // 712 1 ***0*** 11'h21d: return 8'b00000000; // 713 1 ***0*** 11'h21e: return 8'b00000000; // 714 1 ***0*** 11'h21f: return 8'b00000000; // 716 1 ***0*** 11'h220: return 8'b00000000; // 717 1 ***0*** 11'h221: return 8'b01100110; // ** ** 718 1 ***0*** 11'h222: return 8'b01100110; // ** ** 719 1 ***0*** 11'h223: return 8'b01100110; // ** ** 720 1 ***0*** 11'h224: return 8'b00100100; // * * 721 1 ***0*** 11'h225: return 8'b00000000; // 722 1 ***0*** 11'h226: return 8'b00000000; // 723 1 ***0*** 11'h227: return 8'b00000000; // 724 1 ***0*** 11'h228: return 8'b00000000; // 725 1 ***0*** 11'h229: return 8'b00000000; // 726 1 ***0*** 11'h22a: return 8'b00000000; // 727 1 ***0*** 11'h22b: return 8'b00000000; // 728 1 ***0*** 11'h22c: return 8'b00000000; // 729 1 ***0*** 11'h22d: return 8'b00000000; // 730 1 ***0*** 11'h22e: return 8'b00000000; // 731 1 ***0*** 11'h22f: return 8'b00000000; // 733 1 ***0*** 11'h230: return 8'b00000000; // 734 1 ***0*** 11'h231: return 8'b00000000; // 735 1 ***0*** 11'h232: return 8'b00000000; // 736 1 ***0*** 11'h233: return 8'b01101100; // ** ** 737 1 ***0*** 11'h234: return 8'b01101100; // ** ** 738 1 ***0*** 11'h235: return 8'b11111110; // ******* 739 1 ***0*** 11'h236: return 8'b01101100; // ** ** 740 1 ***0*** 11'h237: return 8'b01101100; // ** ** 741 1 ***0*** 11'h238: return 8'b01101100; // ** ** 742 1 ***0*** 11'h239: return 8'b11111110; // ******* 743 1 ***0*** 11'h23a: return 8'b01101100; // ** ** 744 1 ***0*** 11'h23b: return 8'b01101100; // ** ** 745 1 ***0*** 11'h23c: return 8'b00000000; // 746 1 ***0*** 11'h23d: return 8'b00000000; // 747 1 ***0*** 11'h23e: return 8'b00000000; // 748 1 ***0*** 11'h23f: return 8'b00000000; // 750 1 ***0*** 11'h240: return 8'b00011000; // ** 751 1 ***0*** 11'h241: return 8'b00011000; // ** 752 1 ***0*** 11'h242: return 8'b01111100; // ***** 753 1 ***0*** 11'h243: return 8'b11000110; // ** ** 754 1 ***0*** 11'h244: return 8'b11000010; // ** * 755 1 ***0*** 11'h245: return 8'b11000000; // ** 756 1 ***0*** 11'h246: return 8'b01111100; // ***** 757 1 ***0*** 11'h247: return 8'b00000110; // ** 758 1 ***0*** 11'h248: return 8'b00000110; // ** 759 1 ***0*** 11'h249: return 8'b10000110; // * ** 760 1 ***0*** 11'h24a: return 8'b11000110; // ** ** 761 1 ***0*** 11'h24b: return 8'b01111100; // ***** 762 1 ***0*** 11'h24c: return 8'b00011000; // ** 763 1 ***0*** 11'h24d: return 8'b00011000; // ** 764 1 ***0*** 11'h24e: return 8'b00000000; // 765 1 ***0*** 11'h24f: return 8'b00000000; // 767 1 ***0*** 11'h250: return 8'b00000000; // 768 1 ***0*** 11'h251: return 8'b00000000; // 769 1 ***0*** 11'h252: return 8'b00000000; // 770 1 ***0*** 11'h253: return 8'b00000000; // 771 1 ***0*** 11'h254: return 8'b11000010; // ** * 772 1 ***0*** 11'h255: return 8'b11000110; // ** ** 773 1 ***0*** 11'h256: return 8'b00001100; // ** 774 1 ***0*** 11'h257: return 8'b00011000; // ** 775 1 ***0*** 11'h258: return 8'b00110000; // ** 776 1 ***0*** 11'h259: return 8'b01100000; // ** 777 1 ***0*** 11'h25a: return 8'b11000110; // ** ** 778 1 ***0*** 11'h25b: return 8'b10000110; // * ** 779 1 ***0*** 11'h25c: return 8'b00000000; // 780 1 ***0*** 11'h25d: return 8'b00000000; // 781 1 ***0*** 11'h25e: return 8'b00000000; // 782 1 ***0*** 11'h25f: return 8'b00000000; // 784 1 ***0*** 11'h260: return 8'b00000000; // 785 1 ***0*** 11'h261: return 8'b00000000; // 786 1 ***0*** 11'h262: return 8'b00111000; // *** 787 1 ***0*** 11'h263: return 8'b01101100; // ** ** 788 1 ***0*** 11'h264: return 8'b01101100; // ** ** 789 1 ***0*** 11'h265: return 8'b00111000; // *** 790 1 ***0*** 11'h266: return 8'b01110110; // *** ** 791 1 ***0*** 11'h267: return 8'b11011100; // ** *** 792 1 ***0*** 11'h268: return 8'b11001100; // ** ** 793 1 ***0*** 11'h269: return 8'b11001100; // ** ** 794 1 ***0*** 11'h26a: return 8'b11001100; // ** ** 795 1 ***0*** 11'h26b: return 8'b01110110; // *** ** 796 1 ***0*** 11'h26c: return 8'b00000000; // 797 1 ***0*** 11'h26d: return 8'b00000000; // 798 1 ***0*** 11'h26e: return 8'b00000000; // 799 1 ***0*** 11'h26f: return 8'b00000000; // 801 1 8 11'h270: return 8'b00000000; // 802 1 8 11'h271: return 8'b00110000; // ** 803 1 8 11'h272: return 8'b00110000; // ** 804 1 8 11'h273: return 8'b00110000; // ** 805 1 8 11'h274: return 8'b01100000; // ** 806 1 8 11'h275: return 8'b00000000; // 807 1 8 11'h276: return 8'b00000000; // 808 1 8 11'h277: return 8'b00000000; // 809 1 8 11'h278: return 8'b00000000; // 810 1 8 11'h279: return 8'b00000000; // 811 1 8 11'h27a: return 8'b00000000; // 812 1 8 11'h27b: return 8'b00000000; // 813 1 8 11'h27c: return 8'b00000000; // 814 1 8 11'h27d: return 8'b00000000; // 815 1 8 11'h27e: return 8'b00000000; // 816 1 8 11'h27f: return 8'b00000000; // 818 1 ***0*** 11'h280: return 8'b00000000; // 819 1 ***0*** 11'h281: return 8'b00000000; // 820 1 ***0*** 11'h282: return 8'b00001100; // ** 821 1 ***0*** 11'h283: return 8'b00011000; // ** 822 1 ***0*** 11'h284: return 8'b00110000; // ** 823 1 ***0*** 11'h285: return 8'b00110000; // ** 824 1 ***0*** 11'h286: return 8'b00110000; // ** 825 1 ***0*** 11'h287: return 8'b00110000; // ** 826 1 ***0*** 11'h288: return 8'b00110000; // ** 827 1 ***0*** 11'h289: return 8'b00110000; // ** 828 1 ***0*** 11'h28a: return 8'b00011000; // ** 829 1 ***0*** 11'h28b: return 8'b00001100; // ** 830 1 ***0*** 11'h28c: return 8'b00000000; // 831 1 ***0*** 11'h28d: return 8'b00000000; // 832 1 ***0*** 11'h28e: return 8'b00000000; // 833 1 ***0*** 11'h28f: return 8'b00000000; // 835 1 ***0*** 11'h290: return 8'b00000000; // 836 1 ***0*** 11'h291: return 8'b00000000; // 837 1 ***0*** 11'h292: return 8'b00110000; // ** 838 1 ***0*** 11'h293: return 8'b00011000; // ** 839 1 ***0*** 11'h294: return 8'b00001100; // ** 840 1 ***0*** 11'h295: return 8'b00001100; // ** 841 1 ***0*** 11'h296: return 8'b00001100; // ** 842 1 ***0*** 11'h297: return 8'b00001100; // ** 843 1 ***0*** 11'h298: return 8'b00001100; // ** 844 1 ***0*** 11'h299: return 8'b00001100; // ** 845 1 ***0*** 11'h29a: return 8'b00011000; // ** 846 1 ***0*** 11'h29b: return 8'b00110000; // ** 847 1 ***0*** 11'h29c: return 8'b00000000; // 848 1 ***0*** 11'h29d: return 8'b00000000; // 849 1 ***0*** 11'h29e: return 8'b00000000; // 850 1 ***0*** 11'h29f: return 8'b00000000; // 852 1 8 11'h2a0: return 8'b00000000; // 853 1 8 11'h2a1: return 8'b00000000; // 854 1 8 11'h2a2: return 8'b00000000; // 855 1 8 11'h2a3: return 8'b00000000; // 856 1 8 11'h2a4: return 8'b00000000; // 857 1 8 11'h2a5: return 8'b01100110; // ** ** 858 1 8 11'h2a6: return 8'b00111100; // **** 859 1 8 11'h2a7: return 8'b11111111; // ******** 860 1 8 11'h2a8: return 8'b00111100; // **** 861 1 8 11'h2a9: return 8'b01100110; // ** ** 862 1 8 11'h2aa: return 8'b00000000; // 863 1 8 11'h2ab: return 8'b00000000; // 864 1 8 11'h2ac: return 8'b00000000; // 865 1 8 11'h2ad: return 8'b00000000; // 866 1 8 11'h2ae: return 8'b00000000; // 867 1 8 11'h2af: return 8'b00000000; // 869 1 ***0*** 11'h2b0: return 8'b00000000; // 870 1 ***0*** 11'h2b1: return 8'b00000000; // 871 1 ***0*** 11'h2b2: return 8'b00000000; // 872 1 ***0*** 11'h2b3: return 8'b00000000; // 873 1 ***0*** 11'h2b4: return 8'b00000000; // 874 1 ***0*** 11'h2b5: return 8'b00011000; // ** 875 1 ***0*** 11'h2b6: return 8'b00011000; // ** 876 1 ***0*** 11'h2b7: return 8'b01111110; // ****** 877 1 ***0*** 11'h2b8: return 8'b00011000; // ** 878 1 ***0*** 11'h2b9: return 8'b00011000; // ** 879 1 ***0*** 11'h2ba: return 8'b00000000; // 880 1 ***0*** 11'h2bb: return 8'b00000000; // 881 1 ***0*** 11'h2bc: return 8'b00000000; // 882 1 ***0*** 11'h2bd: return 8'b00000000; // 883 1 ***0*** 11'h2be: return 8'b00000000; // 884 1 ***0*** 11'h2bf: return 8'b00000000; // 886 1 ***0*** 11'h2c0: return 8'b00000000; // 887 1 ***0*** 11'h2c1: return 8'b00000000; // 888 1 ***0*** 11'h2c2: return 8'b00000000; // 889 1 ***0*** 11'h2c3: return 8'b00000000; // 890 1 ***0*** 11'h2c4: return 8'b00000000; // 891 1 ***0*** 11'h2c5: return 8'b00000000; // 892 1 ***0*** 11'h2c6: return 8'b00000000; // 893 1 ***0*** 11'h2c7: return 8'b00000000; // 894 1 ***0*** 11'h2c8: return 8'b00000000; // 895 1 ***0*** 11'h2c9: return 8'b00011000; // ** 896 1 ***0*** 11'h2ca: return 8'b00011000; // ** 897 1 ***0*** 11'h2cb: return 8'b00011000; // ** 898 1 ***0*** 11'h2cc: return 8'b00110000; // ** 899 1 ***0*** 11'h2cd: return 8'b00000000; // 900 1 ***0*** 11'h2ce: return 8'b00000000; // 901 1 ***0*** 11'h2cf: return 8'b00000000; // 903 1 ***0*** 11'h2d0: return 8'b00000000; // 904 1 ***0*** 11'h2d1: return 8'b00000000; // 905 1 ***0*** 11'h2d2: return 8'b00000000; // 906 1 ***0*** 11'h2d3: return 8'b00000000; // 907 1 ***0*** 11'h2d4: return 8'b00000000; // 908 1 ***0*** 11'h2d5: return 8'b00000000; // 909 1 ***0*** 11'h2d6: return 8'b00000000; // 910 1 ***0*** 11'h2d7: return 8'b01111110; // ****** 911 1 ***0*** 11'h2d8: return 8'b00000000; // 912 1 ***0*** 11'h2d9: return 8'b00000000; // 913 1 ***0*** 11'h2da: return 8'b00000000; // 914 1 ***0*** 11'h2db: return 8'b00000000; // 915 1 ***0*** 11'h2dc: return 8'b00000000; // 916 1 ***0*** 11'h2dd: return 8'b00000000; // 917 1 ***0*** 11'h2de: return 8'b00000000; // 918 1 ***0*** 11'h2df: return 8'b00000000; // 920 1 ***0*** 11'h2e0: return 8'b00000000; // 921 1 ***0*** 11'h2e1: return 8'b00000000; // 922 1 ***0*** 11'h2e2: return 8'b00000000; // 923 1 ***0*** 11'h2e3: return 8'b00000000; // 924 1 ***0*** 11'h2e4: return 8'b00000000; // 925 1 ***0*** 11'h2e5: return 8'b00000000; // 926 1 ***0*** 11'h2e6: return 8'b00000000; // 927 1 ***0*** 11'h2e7: return 8'b00000000; // 928 1 ***0*** 11'h2e8: return 8'b00000000; // 929 1 ***0*** 11'h2e9: return 8'b00000000; // 930 1 ***0*** 11'h2ea: return 8'b00011000; // ** 931 1 ***0*** 11'h2eb: return 8'b00011000; // ** 932 1 ***0*** 11'h2ec: return 8'b00000000; // 933 1 ***0*** 11'h2ed: return 8'b00000000; // 934 1 ***0*** 11'h2ee: return 8'b00000000; // 935 1 ***0*** 11'h2ef: return 8'b00000000; // 937 1 8 11'h2f0: return 8'b00000000; // 938 1 8 11'h2f1: return 8'b00000000; // 939 1 8 11'h2f2: return 8'b00000000; // 940 1 8 11'h2f3: return 8'b00000000; // 941 1 8 11'h2f4: return 8'b00000010; // * 942 1 8 11'h2f5: return 8'b00000110; // ** 943 1 8 11'h2f6: return 8'b00001100; // ** 944 1 8 11'h2f7: return 8'b00011000; // ** 945 1 8 11'h2f8: return 8'b00110000; // ** 946 1 8 11'h2f9: return 8'b01100000; // ** 947 1 8 11'h2fa: return 8'b11000000; // ** 948 1 8 11'h2fb: return 8'b10000000; // * 949 1 8 11'h2fc: return 8'b00000000; // 950 1 8 11'h2fd: return 8'b00000000; // 951 1 8 11'h2fe: return 8'b00000000; // 952 1 8 11'h2ff: return 8'b00000000; // 954 1 8 11'h300: return 8'b00000000; // 955 1 8 11'h301: return 8'b00000000; // 956 1 8 11'h302: return 8'b01111100; // ***** 957 1 8 11'h303: return 8'b11000110; // ** ** 958 1 8 11'h304: return 8'b11000110; // ** ** 959 1 8 11'h305: return 8'b11001110; // ** *** 960 1 8 11'h306: return 8'b11011110; // ** **** 961 1 8 11'h307: return 8'b11110110; // **** ** 962 1 8 11'h308: return 8'b11100110; // *** ** 963 1 8 11'h309: return 8'b11000110; // ** ** 964 1 8 11'h30a: return 8'b11000110; // ** ** 965 1 8 11'h30b: return 8'b01111100; // ***** 966 1 8 11'h30c: return 8'b00000000; // 967 1 8 11'h30d: return 8'b00000000; // 968 1 8 11'h30e: return 8'b00000000; // 969 1 8 11'h30f: return 8'b00000000; // 971 1 ***0*** 11'h310: return 8'b00000000; // 972 1 ***0*** 11'h311: return 8'b00000000; // 973 1 ***0*** 11'h312: return 8'b00011000; // 974 1 ***0*** 11'h313: return 8'b00111000; // 975 1 ***0*** 11'h314: return 8'b01111000; // ** 976 1 ***0*** 11'h315: return 8'b00011000; // *** 977 1 ***0*** 11'h316: return 8'b00011000; // **** 978 1 ***0*** 11'h317: return 8'b00011000; // ** 979 1 ***0*** 11'h318: return 8'b00011000; // ** 980 1 ***0*** 11'h319: return 8'b00011000; // ** 981 1 ***0*** 11'h31a: return 8'b00011000; // ** 982 1 ***0*** 11'h31b: return 8'b01111110; // ** 983 1 ***0*** 11'h31c: return 8'b00000000; // ** 984 1 ***0*** 11'h31d: return 8'b00000000; // ****** 985 1 ***0*** 11'h31e: return 8'b00000000; // 986 1 ***0*** 11'h31f: return 8'b00000000; // 988 1 ***0*** 11'h320: return 8'b00000000; // 989 1 ***0*** 11'h321: return 8'b00000000; // 990 1 ***0*** 11'h322: return 8'b01111100; // ***** 991 1 ***0*** 11'h323: return 8'b11000110; // ** ** 992 1 ***0*** 11'h324: return 8'b00000110; // ** 993 1 ***0*** 11'h325: return 8'b00001100; // ** 994 1 ***0*** 11'h326: return 8'b00011000; // ** 995 1 ***0*** 11'h327: return 8'b00110000; // ** 996 1 ***0*** 11'h328: return 8'b01100000; // ** 997 1 ***0*** 11'h329: return 8'b11000000; // ** 998 1 ***0*** 11'h32a: return 8'b11000110; // ** ** 999 1 ***0*** 11'h32b: return 8'b11111110; // ******* 1000 1 ***0*** 11'h32c: return 8'b00000000; // 1001 1 ***0*** 11'h32d: return 8'b00000000; // 1002 1 ***0*** 11'h32e: return 8'b00000000; // 1003 1 ***0*** 11'h32f: return 8'b00000000; // 1005 1 ***0*** 11'h330: return 8'b00000000; // 1006 1 ***0*** 11'h331: return 8'b00000000; // 1007 1 ***0*** 11'h332: return 8'b01111100; // ***** 1008 1 ***0*** 11'h333: return 8'b11000110; // ** ** 1009 1 ***0*** 11'h334: return 8'b00000110; // ** 1010 1 ***0*** 11'h335: return 8'b00000110; // ** 1011 1 ***0*** 11'h336: return 8'b00111100; // **** 1012 1 ***0*** 11'h337: return 8'b00000110; // ** 1013 1 ***0*** 11'h338: return 8'b00000110; // ** 1014 1 ***0*** 11'h339: return 8'b00000110; // ** 1015 1 ***0*** 11'h33a: return 8'b11000110; // ** ** 1016 1 ***0*** 11'h33b: return 8'b01111100; // ***** 1017 1 ***0*** 11'h33c: return 8'b00000000; // 1018 1 ***0*** 11'h33d: return 8'b00000000; // 1019 1 ***0*** 11'h33e: return 8'b00000000; // 1020 1 ***0*** 11'h33f: return 8'b00000000; // 1022 1 ***0*** 11'h340: return 8'b00000000; // 1023 1 ***0*** 11'h341: return 8'b00000000; // 1024 1 ***0*** 11'h342: return 8'b00001100; // ** 1025 1 ***0*** 11'h343: return 8'b00011100; // *** 1026 1 ***0*** 11'h344: return 8'b00111100; // **** 1027 1 ***0*** 11'h345: return 8'b01101100; // ** ** 1028 1 ***0*** 11'h346: return 8'b11001100; // ** ** 1029 1 ***0*** 11'h347: return 8'b11111110; // ******* 1030 1 ***0*** 11'h348: return 8'b00001100; // ** 1031 1 ***0*** 11'h349: return 8'b00001100; // ** 1032 1 ***0*** 11'h34a: return 8'b00001100; // ** 1033 1 ***0*** 11'h34b: return 8'b00011110; // **** 1034 1 ***0*** 11'h34c: return 8'b00000000; // 1035 1 ***0*** 11'h34d: return 8'b00000000; // 1036 1 ***0*** 11'h34e: return 8'b00000000; // 1037 1 ***0*** 11'h34f: return 8'b00000000; // 1039 1 8 11'h350: return 8'b00000000; // 1040 1 8 11'h351: return 8'b00000000; // 1041 1 8 11'h352: return 8'b11111110; // ******* 1042 1 8 11'h353: return 8'b11000000; // ** 1043 1 8 11'h354: return 8'b11000000; // ** 1044 1 8 11'h355: return 8'b11000000; // ** 1045 1 8 11'h356: return 8'b11111100; // ****** 1046 1 8 11'h357: return 8'b00000110; // ** 1047 1 8 11'h358: return 8'b00000110; // ** 1048 1 8 11'h359: return 8'b00000110; // ** 1049 1 8 11'h35a: return 8'b11000110; // ** ** 1050 1 8 11'h35b: return 8'b01111100; // ***** 1051 1 8 11'h35c: return 8'b00000000; // 1052 1 8 11'h35d: return 8'b00000000; // 1053 1 8 11'h35e: return 8'b00000000; // 1054 1 8 11'h35f: return 8'b00000000; // 1056 1 ***0*** 11'h360: return 8'b00000000; // 1057 1 ***0*** 11'h361: return 8'b00000000; // 1058 1 ***0*** 11'h362: return 8'b00111000; // *** 1059 1 ***0*** 11'h363: return 8'b01100000; // ** 1060 1 ***0*** 11'h364: return 8'b11000000; // ** 1061 1 ***0*** 11'h365: return 8'b11000000; // ** 1062 1 ***0*** 11'h366: return 8'b11111100; // ****** 1063 1 ***0*** 11'h367: return 8'b11000110; // ** ** 1064 1 ***0*** 11'h368: return 8'b11000110; // ** ** 1065 1 ***0*** 11'h369: return 8'b11000110; // ** ** 1066 1 ***0*** 11'h36a: return 8'b11000110; // ** ** 1067 1 ***0*** 11'h36b: return 8'b01111100; // ***** 1068 1 ***0*** 11'h36c: return 8'b00000000; // 1069 1 ***0*** 11'h36d: return 8'b00000000; // 1070 1 ***0*** 11'h36e: return 8'b00000000; // 1071 1 ***0*** 11'h36f: return 8'b00000000; // 1073 1 ***0*** 11'h370: return 8'b00000000; // 1074 1 ***0*** 11'h371: return 8'b00000000; // 1075 1 ***0*** 11'h372: return 8'b11111110; // ******* 1076 1 ***0*** 11'h373: return 8'b11000110; // ** ** 1077 1 ***0*** 11'h374: return 8'b00000110; // ** 1078 1 ***0*** 11'h375: return 8'b00000110; // ** 1079 1 ***0*** 11'h376: return 8'b00001100; // ** 1080 1 ***0*** 11'h377: return 8'b00011000; // ** 1081 1 ***0*** 11'h378: return 8'b00110000; // ** 1082 1 ***0*** 11'h379: return 8'b00110000; // ** 1083 1 ***0*** 11'h37a: return 8'b00110000; // ** 1084 1 ***0*** 11'h37b: return 8'b00110000; // ** 1085 1 ***0*** 11'h37c: return 8'b00000000; // 1086 1 ***0*** 11'h37d: return 8'b00000000; // 1087 1 ***0*** 11'h37e: return 8'b00000000; // 1088 1 ***0*** 11'h37f: return 8'b00000000; // 1090 1 ***0*** 11'h380: return 8'b00000000; // 1091 1 ***0*** 11'h381: return 8'b00000000; // 1092 1 ***0*** 11'h382: return 8'b01111100; // ***** 1093 1 ***0*** 11'h383: return 8'b11000110; // ** ** 1094 1 ***0*** 11'h384: return 8'b11000110; // ** ** 1095 1 ***0*** 11'h385: return 8'b11000110; // ** ** 1096 1 ***0*** 11'h386: return 8'b01111100; // ***** 1097 1 ***0*** 11'h387: return 8'b11000110; // ** ** 1098 1 ***0*** 11'h388: return 8'b11000110; // ** ** 1099 1 ***0*** 11'h389: return 8'b11000110; // ** ** 1100 1 ***0*** 11'h38a: return 8'b11000110; // ** ** 1101 1 ***0*** 11'h38b: return 8'b01111100; // ***** 1102 1 ***0*** 11'h38c: return 8'b00000000; // 1103 1 ***0*** 11'h38d: return 8'b00000000; // 1104 1 ***0*** 11'h38e: return 8'b00000000; // 1105 1 ***0*** 11'h38f: return 8'b00000000; // 1107 1 ***0*** 11'h390: return 8'b00000000; // 1108 1 ***0*** 11'h391: return 8'b00000000; // 1109 1 ***0*** 11'h392: return 8'b01111100; // ***** 1110 1 ***0*** 11'h393: return 8'b11000110; // ** ** 1111 1 ***0*** 11'h394: return 8'b11000110; // ** ** 1112 1 ***0*** 11'h395: return 8'b11000110; // ** ** 1113 1 ***0*** 11'h396: return 8'b01111110; // ****** 1114 1 ***0*** 11'h397: return 8'b00000110; // ** 1115 1 ***0*** 11'h398: return 8'b00000110; // ** 1116 1 ***0*** 11'h399: return 8'b00000110; // ** 1117 1 ***0*** 11'h39a: return 8'b00001100; // ** 1118 1 ***0*** 11'h39b: return 8'b01111000; // **** 1119 1 ***0*** 11'h39c: return 8'b00000000; // 1120 1 ***0*** 11'h39d: return 8'b00000000; // 1121 1 ***0*** 11'h39e: return 8'b00000000; // 1122 1 ***0*** 11'h39f: return 8'b00000000; // 1124 1 8 11'h3a0: return 8'b00000000; // 1125 1 8 11'h3a1: return 8'b00000000; // 1126 1 8 11'h3a2: return 8'b00000000; // 1127 1 8 11'h3a3: return 8'b00000000; // 1128 1 8 11'h3a4: return 8'b00011000; // ** 1129 1 8 11'h3a5: return 8'b00011000; // ** 1130 1 8 11'h3a6: return 8'b00000000; // 1131 1 8 11'h3a7: return 8'b00000000; // 1132 1 8 11'h3a8: return 8'b00000000; // 1133 1 8 11'h3a9: return 8'b00011000; // ** 1134 1 8 11'h3aa: return 8'b00011000; // ** 1135 1 8 11'h3ab: return 8'b00000000; // 1136 1 8 11'h3ac: return 8'b00000000; // 1137 1 8 11'h3ad: return 8'b00000000; // 1138 1 8 11'h3ae: return 8'b00000000; // 1139 1 8 11'h3af: return 8'b00000000; // 1141 1 ***0*** 11'h3b0: return 8'b00000000; // 1142 1 ***0*** 11'h3b1: return 8'b00000000; // 1143 1 ***0*** 11'h3b2: return 8'b00000000; // 1144 1 ***0*** 11'h3b3: return 8'b00000000; // 1145 1 ***0*** 11'h3b4: return 8'b00011000; // ** 1146 1 ***0*** 11'h3b5: return 8'b00011000; // ** 1147 1 ***0*** 11'h3b6: return 8'b00000000; // 1148 1 ***0*** 11'h3b7: return 8'b00000000; // 1149 1 ***0*** 11'h3b8: return 8'b00000000; // 1150 1 ***0*** 11'h3b9: return 8'b00011000; // ** 1151 1 ***0*** 11'h3ba: return 8'b00011000; // ** 1152 1 ***0*** 11'h3bb: return 8'b00110000; // ** 1153 1 ***0*** 11'h3bc: return 8'b00000000; // 1154 1 ***0*** 11'h3bd: return 8'b00000000; // 1155 1 ***0*** 11'h3be: return 8'b00000000; // 1156 1 ***0*** 11'h3bf: return 8'b00000000; // 1158 1 ***0*** 11'h3c0: return 8'b00000000; // 1159 1 ***0*** 11'h3c1: return 8'b00000000; // 1160 1 ***0*** 11'h3c2: return 8'b00000000; // 1161 1 ***0*** 11'h3c3: return 8'b00000110; // ** 1162 1 ***0*** 11'h3c4: return 8'b00001100; // ** 1163 1 ***0*** 11'h3c5: return 8'b00011000; // ** 1164 1 ***0*** 11'h3c6: return 8'b00110000; // ** 1165 1 ***0*** 11'h3c7: return 8'b01100000; // ** 1166 1 ***0*** 11'h3c8: return 8'b00110000; // ** 1167 1 ***0*** 11'h3c9: return 8'b00011000; // ** 1168 1 ***0*** 11'h3ca: return 8'b00001100; // ** 1169 1 ***0*** 11'h3cb: return 8'b00000110; // ** 1170 1 ***0*** 11'h3cc: return 8'b00000000; // 1171 1 ***0*** 11'h3cd: return 8'b00000000; // 1172 1 ***0*** 11'h3ce: return 8'b00000000; // 1173 1 ***0*** 11'h3cf: return 8'b00000000; // 1175 1 ***0*** 11'h3d0: return 8'b00000000; // 1176 1 ***0*** 11'h3d1: return 8'b00000000; // 1177 1 ***0*** 11'h3d2: return 8'b00000000; // 1178 1 ***0*** 11'h3d3: return 8'b00000000; // 1179 1 ***0*** 11'h3d4: return 8'b00000000; // 1180 1 ***0*** 11'h3d5: return 8'b01111110; // ****** 1181 1 ***0*** 11'h3d6: return 8'b00000000; // 1182 1 ***0*** 11'h3d7: return 8'b00000000; // 1183 1 ***0*** 11'h3d8: return 8'b01111110; // ****** 1184 1 ***0*** 11'h3d9: return 8'b00000000; // 1185 1 ***0*** 11'h3da: return 8'b00000000; // 1186 1 ***0*** 11'h3db: return 8'b00000000; // 1187 1 ***0*** 11'h3dc: return 8'b00000000; // 1188 1 ***0*** 11'h3dd: return 8'b00000000; // 1189 1 ***0*** 11'h3de: return 8'b00000000; // 1190 1 ***0*** 11'h3df: return 8'b00000000; // 1192 1 ***0*** 11'h3e0: return 8'b00000000; // 1193 1 ***0*** 11'h3e1: return 8'b00000000; // 1194 1 ***0*** 11'h3e2: return 8'b00000000; // 1195 1 ***0*** 11'h3e3: return 8'b01100000; // ** 1196 1 ***0*** 11'h3e4: return 8'b00110000; // ** 1197 1 ***0*** 11'h3e5: return 8'b00011000; // ** 1198 1 ***0*** 11'h3e6: return 8'b00001100; // ** 1199 1 ***0*** 11'h3e7: return 8'b00000110; // ** 1200 1 ***0*** 11'h3e8: return 8'b00001100; // ** 1201 1 ***0*** 11'h3e9: return 8'b00011000; // ** 1202 1 ***0*** 11'h3ea: return 8'b00110000; // ** 1203 1 ***0*** 11'h3eb: return 8'b01100000; // ** 1204 1 ***0*** 11'h3ec: return 8'b00000000; // 1205 1 ***0*** 11'h3ed: return 8'b00000000; // 1206 1 ***0*** 11'h3ee: return 8'b00000000; // 1207 1 ***0*** 11'h3ef: return 8'b00000000; // 1209 1 8 11'h3f0: return 8'b00000000; // 1210 1 8 11'h3f1: return 8'b00000000; // 1211 1 8 11'h3f2: return 8'b01111100; // ***** 1212 1 8 11'h3f3: return 8'b11000110; // ** ** 1213 1 8 11'h3f4: return 8'b11000110; // ** ** 1214 1 8 11'h3f5: return 8'b00001100; // ** 1215 1 8 11'h3f6: return 8'b00011000; // ** 1216 1 8 11'h3f7: return 8'b00011000; // ** 1217 1 8 11'h3f8: return 8'b00011000; // ** 1218 1 8 11'h3f9: return 8'b00000000; // 1219 1 8 11'h3fa: return 8'b00011000; // ** 1220 1 8 11'h3fb: return 8'b00011000; // ** 1221 1 8 11'h3fc: return 8'b00000000; // 1222 1 8 11'h3fd: return 8'b00000000; // 1223 1 8 11'h3fe: return 8'b00000000; // 1224 1 8 11'h3ff: return 8'b00000000; // 1226 1 ***0*** 11'h400: return 8'b00000000; // 1227 1 ***0*** 11'h401: return 8'b00000000; // 1228 1 ***0*** 11'h402: return 8'b01111100; // ***** 1229 1 ***0*** 11'h403: return 8'b11000110; // ** ** 1230 1 ***0*** 11'h404: return 8'b11000110; // ** ** 1231 1 ***0*** 11'h405: return 8'b11000110; // ** ** 1232 1 ***0*** 11'h406: return 8'b11011110; // ** **** 1233 1 ***0*** 11'h407: return 8'b11011110; // ** **** 1234 1 ***0*** 11'h408: return 8'b11011110; // ** **** 1235 1 ***0*** 11'h409: return 8'b11011100; // ** *** 1236 1 ***0*** 11'h40a: return 8'b11000000; // ** 1237 1 ***0*** 11'h40b: return 8'b01111100; // ***** 1238 1 ***0*** 11'h40c: return 8'b00000000; // 1239 1 ***0*** 11'h40d: return 8'b00000000; // 1240 1 ***0*** 11'h40e: return 8'b00000000; // 1241 1 ***0*** 11'h40f: return 8'b00000000; // 1243 1 ***0*** 11'h410: return 8'b00000000; // 1244 1 ***0*** 11'h411: return 8'b00000000; // 1245 1 ***0*** 11'h412: return 8'b00010000; // * 1246 1 ***0*** 11'h413: return 8'b00111000; // *** 1247 1 ***0*** 11'h414: return 8'b01101100; // ** ** 1248 1 ***0*** 11'h415: return 8'b11000110; // ** ** 1249 1 ***0*** 11'h416: return 8'b11000110; // ** ** 1250 1 ***0*** 11'h417: return 8'b11111110; // ******* 1251 1 ***0*** 11'h418: return 8'b11000110; // ** ** 1252 1 ***0*** 11'h419: return 8'b11000110; // ** ** 1253 1 ***0*** 11'h41a: return 8'b11000110; // ** ** 1254 1 ***0*** 11'h41b: return 8'b11000110; // ** ** 1255 1 ***0*** 11'h41c: return 8'b00000000; // 1256 1 ***0*** 11'h41d: return 8'b00000000; // 1257 1 ***0*** 11'h41e: return 8'b00000000; // 1258 1 ***0*** 11'h41f: return 8'b00000000; // 1260 1 ***0*** 11'h420: return 8'b00000000; // 1261 1 ***0*** 11'h421: return 8'b00000000; // 1262 1 ***0*** 11'h422: return 8'b11111100; // ****** 1263 1 ***0*** 11'h423: return 8'b01100110; // ** ** 1264 1 ***0*** 11'h424: return 8'b01100110; // ** ** 1265 1 ***0*** 11'h425: return 8'b01100110; // ** ** 1266 1 ***0*** 11'h426: return 8'b01111100; // ***** 1267 1 ***0*** 11'h427: return 8'b01100110; // ** ** 1268 1 ***0*** 11'h428: return 8'b01100110; // ** ** 1269 1 ***0*** 11'h429: return 8'b01100110; // ** ** 1270 1 ***0*** 11'h42a: return 8'b01100110; // ** ** 1271 1 ***0*** 11'h42b: return 8'b11111100; // ****** 1272 1 ***0*** 11'h42c: return 8'b00000000; // 1273 1 ***0*** 11'h42d: return 8'b00000000; // 1274 1 ***0*** 11'h42e: return 8'b00000000; // 1275 1 ***0*** 11'h42f: return 8'b00000000; // 1277 1 8 11'h430: return 8'b00000000; // 1278 1 8 11'h431: return 8'b00000000; // 1279 1 8 11'h432: return 8'b00111100; // **** 1280 1 8 11'h433: return 8'b01100110; // ** ** 1281 1 8 11'h434: return 8'b11000010; // ** * 1282 1 8 11'h435: return 8'b11000000; // ** 1283 1 8 11'h436: return 8'b11000000; // ** 1284 1 8 11'h437: return 8'b11000000; // ** 1285 1 8 11'h438: return 8'b11000000; // ** 1286 1 8 11'h439: return 8'b11000010; // ** * 1287 1 8 11'h43a: return 8'b01100110; // ** ** 1288 1 8 11'h43b: return 8'b00111100; // **** 1289 1 8 11'h43c: return 8'b00000000; // 1290 1 8 11'h43d: return 8'b00000000; // 1291 1 8 11'h43e: return 8'b00000000; // 1292 1 8 11'h43f: return 8'b00000000; // 1294 1 ***0*** 11'h440: return 8'b00000000; // 1295 1 ***0*** 11'h441: return 8'b00000000; // 1296 1 ***0*** 11'h442: return 8'b11111000; // ***** 1297 1 ***0*** 11'h443: return 8'b01101100; // ** ** 1298 1 ***0*** 11'h444: return 8'b01100110; // ** ** 1299 1 ***0*** 11'h445: return 8'b01100110; // ** ** 1300 1 ***0*** 11'h446: return 8'b01100110; // ** ** 1301 1 ***0*** 11'h447: return 8'b01100110; // ** ** 1302 1 ***0*** 11'h448: return 8'b01100110; // ** ** 1303 1 ***0*** 11'h449: return 8'b01100110; // ** ** 1304 1 ***0*** 11'h44a: return 8'b01101100; // ** ** 1305 1 ***0*** 11'h44b: return 8'b11111000; // ***** 1306 1 ***0*** 11'h44c: return 8'b00000000; // 1307 1 ***0*** 11'h44d: return 8'b00000000; // 1308 1 ***0*** 11'h44e: return 8'b00000000; // 1309 1 ***0*** 11'h44f: return 8'b00000000; // 1311 1 ***0*** 11'h450: return 8'b00000000; // 1312 1 ***0*** 11'h451: return 8'b00000000; // 1313 1 ***0*** 11'h452: return 8'b11111110; // ******* 1314 1 ***0*** 11'h453: return 8'b01100110; // ** ** 1315 1 ***0*** 11'h454: return 8'b01100010; // ** * 1316 1 ***0*** 11'h455: return 8'b01101000; // ** * 1317 1 ***0*** 11'h456: return 8'b01111000; // **** 1318 1 ***0*** 11'h457: return 8'b01101000; // ** * 1319 1 ***0*** 11'h458: return 8'b01100000; // ** 1320 1 ***0*** 11'h459: return 8'b01100010; // ** * 1321 1 ***0*** 11'h45a: return 8'b01100110; // ** ** 1322 1 ***0*** 11'h45b: return 8'b11111110; // ******* 1323 1 ***0*** 11'h45c: return 8'b00000000; // 1324 1 ***0*** 11'h45d: return 8'b00000000; // 1325 1 ***0*** 11'h45e: return 8'b00000000; // 1326 1 ***0*** 11'h45f: return 8'b00000000; // 1328 1 ***0*** 11'h460: return 8'b00000000; // 1329 1 ***0*** 11'h461: return 8'b00000000; // 1330 1 ***0*** 11'h462: return 8'b11111110; // ******* 1331 1 ***0*** 11'h463: return 8'b01100110; // ** ** 1332 1 ***0*** 11'h464: return 8'b01100010; // ** * 1333 1 ***0*** 11'h465: return 8'b01101000; // ** * 1334 1 ***0*** 11'h466: return 8'b01111000; // **** 1335 1 ***0*** 11'h467: return 8'b01101000; // ** * 1336 1 ***0*** 11'h468: return 8'b01100000; // ** 1337 1 ***0*** 11'h469: return 8'b01100000; // ** 1338 1 ***0*** 11'h46a: return 8'b01100000; // ** 1339 1 ***0*** 11'h46b: return 8'b11110000; // **** 1340 1 ***0*** 11'h46c: return 8'b00000000; // 1341 1 ***0*** 11'h46d: return 8'b00000000; // 1342 1 ***0*** 11'h46e: return 8'b00000000; // 1343 1 ***0*** 11'h46f: return 8'b00000000; // 1345 1 ***0*** 11'h470: return 8'b00000000; // 1346 1 ***0*** 11'h471: return 8'b00000000; // 1347 1 ***0*** 11'h472: return 8'b00111100; // **** 1348 1 ***0*** 11'h473: return 8'b01100110; // ** ** 1349 1 ***0*** 11'h474: return 8'b11000010; // ** * 1350 1 ***0*** 11'h475: return 8'b11000000; // ** 1351 1 ***0*** 11'h476: return 8'b11000000; // ** 1352 1 ***0*** 11'h477: return 8'b11011110; // ** **** 1353 1 ***0*** 11'h478: return 8'b11000110; // ** ** 1354 1 ***0*** 11'h479: return 8'b11000110; // ** ** 1355 1 ***0*** 11'h47a: return 8'b01100110; // ** ** 1356 1 ***0*** 11'h47b: return 8'b00111010; // *** * 1357 1 ***0*** 11'h47c: return 8'b00000000; // 1358 1 ***0*** 11'h47d: return 8'b00000000; // 1359 1 ***0*** 11'h47e: return 8'b00000000; // 1360 1 ***0*** 11'h47f: return 8'b00000000; // 1362 1 8 11'h480: return 8'b00000000; // 1363 1 8 11'h481: return 8'b00000000; // 1364 1 8 11'h482: return 8'b11000110; // ** ** 1365 1 8 11'h483: return 8'b11000110; // ** ** 1366 1 8 11'h484: return 8'b11000110; // ** ** 1367 1 8 11'h485: return 8'b11000110; // ** ** 1368 1 8 11'h486: return 8'b11111110; // ******* 1369 1 8 11'h487: return 8'b11000110; // ** ** 1370 1 8 11'h488: return 8'b11000110; // ** ** 1371 1 8 11'h489: return 8'b11000110; // ** ** 1372 1 8 11'h48a: return 8'b11000110; // ** ** 1373 1 8 11'h48b: return 8'b11000110; // ** ** 1374 1 8 11'h48c: return 8'b00000000; // 1375 1 8 11'h48d: return 8'b00000000; // 1376 1 8 11'h48e: return 8'b00000000; // 1377 1 8 11'h48f: return 8'b00000000; // 1379 1 ***0*** 11'h490: return 8'b00000000; // 1380 1 ***0*** 11'h491: return 8'b00000000; // 1381 1 ***0*** 11'h492: return 8'b00111100; // **** 1382 1 ***0*** 11'h493: return 8'b00011000; // ** 1383 1 ***0*** 11'h494: return 8'b00011000; // ** 1384 1 ***0*** 11'h495: return 8'b00011000; // ** 1385 1 ***0*** 11'h496: return 8'b00011000; // ** 1386 1 ***0*** 11'h497: return 8'b00011000; // ** 1387 1 ***0*** 11'h498: return 8'b00011000; // ** 1388 1 ***0*** 11'h499: return 8'b00011000; // ** 1389 1 ***0*** 11'h49a: return 8'b00011000; // ** 1390 1 ***0*** 11'h49b: return 8'b00111100; // **** 1391 1 ***0*** 11'h49c: return 8'b00000000; // 1392 1 ***0*** 11'h49d: return 8'b00000000; // 1393 1 ***0*** 11'h49e: return 8'b00000000; // 1394 1 ***0*** 11'h49f: return 8'b00000000; // 1396 1 ***0*** 11'h4a0: return 8'b00000000; // 1397 1 ***0*** 11'h4a1: return 8'b00000000; // 1398 1 ***0*** 11'h4a2: return 8'b00011110; // **** 1399 1 ***0*** 11'h4a3: return 8'b00001100; // ** 1400 1 ***0*** 11'h4a4: return 8'b00001100; // ** 1401 1 ***0*** 11'h4a5: return 8'b00001100; // ** 1402 1 ***0*** 11'h4a6: return 8'b00001100; // ** 1403 1 ***0*** 11'h4a7: return 8'b00001100; // ** 1404 1 ***0*** 11'h4a8: return 8'b11001100; // ** ** 1405 1 ***0*** 11'h4a9: return 8'b11001100; // ** ** 1406 1 ***0*** 11'h4aa: return 8'b11001100; // ** ** 1407 1 ***0*** 11'h4ab: return 8'b01111000; // **** 1408 1 ***0*** 11'h4ac: return 8'b00000000; // 1409 1 ***0*** 11'h4ad: return 8'b00000000; // 1410 1 ***0*** 11'h4ae: return 8'b00000000; // 1411 1 ***0*** 11'h4af: return 8'b00000000; // 1413 1 ***0*** 11'h4b0: return 8'b00000000; // 1414 1 ***0*** 11'h4b1: return 8'b00000000; // 1415 1 ***0*** 11'h4b2: return 8'b11100110; // *** ** 1416 1 ***0*** 11'h4b3: return 8'b01100110; // ** ** 1417 1 ***0*** 11'h4b4: return 8'b01100110; // ** ** 1418 1 ***0*** 11'h4b5: return 8'b01101100; // ** ** 1419 1 ***0*** 11'h4b6: return 8'b01111000; // **** 1420 1 ***0*** 11'h4b7: return 8'b01111000; // **** 1421 1 ***0*** 11'h4b8: return 8'b01101100; // ** ** 1422 1 ***0*** 11'h4b9: return 8'b01100110; // ** ** 1423 1 ***0*** 11'h4ba: return 8'b01100110; // ** ** 1424 1 ***0*** 11'h4bb: return 8'b11100110; // *** ** 1425 1 ***0*** 11'h4bc: return 8'b00000000; // 1426 1 ***0*** 11'h4bd: return 8'b00000000; // 1427 1 ***0*** 11'h4be: return 8'b00000000; // 1428 1 ***0*** 11'h4bf: return 8'b00000000; // 1430 1 ***0*** 11'h4c0: return 8'b00000000; // 1431 1 ***0*** 11'h4c1: return 8'b00000000; // 1432 1 ***0*** 11'h4c2: return 8'b11110000; // **** 1433 1 ***0*** 11'h4c3: return 8'b01100000; // ** 1434 1 ***0*** 11'h4c4: return 8'b01100000; // ** 1435 1 ***0*** 11'h4c5: return 8'b01100000; // ** 1436 1 ***0*** 11'h4c6: return 8'b01100000; // ** 1437 1 ***0*** 11'h4c7: return 8'b01100000; // ** 1438 1 ***0*** 11'h4c8: return 8'b01100000; // ** 1439 1 ***0*** 11'h4c9: return 8'b01100010; // ** * 1440 1 ***0*** 11'h4ca: return 8'b01100110; // ** ** 1441 1 ***0*** 11'h4cb: return 8'b11111110; // ******* 1442 1 ***0*** 11'h4cc: return 8'b00000000; // 1443 1 ***0*** 11'h4cd: return 8'b00000000; // 1444 1 ***0*** 11'h4ce: return 8'b00000000; // 1445 1 ***0*** 11'h4cf: return 8'b00000000; // 1447 1 8 11'h4d0: return 8'b00000000; // 1448 1 8 11'h4d1: return 8'b00000000; // 1449 1 8 11'h4d2: return 8'b11000011; // ** ** 1450 1 8 11'h4d3: return 8'b11100111; // *** *** 1451 1 8 11'h4d4: return 8'b11111111; // ******** 1452 1 8 11'h4d5: return 8'b11111111; // ******** 1453 1 8 11'h4d6: return 8'b11011011; // ** ** ** 1454 1 8 11'h4d7: return 8'b11000011; // ** ** 1455 1 8 11'h4d8: return 8'b11000011; // ** ** 1456 1 8 11'h4d9: return 8'b11000011; // ** ** 1457 1 8 11'h4da: return 8'b11000011; // ** ** 1458 1 8 11'h4db: return 8'b11000011; // ** ** 1459 1 8 11'h4dc: return 8'b00000000; // 1460 1 8 11'h4dd: return 8'b00000000; // 1461 1 8 11'h4de: return 8'b00000000; // 1462 1 8 11'h4df: return 8'b00000000; // 1464 1 ***0*** 11'h4e0: return 8'b00000000; // 1465 1 ***0*** 11'h4e1: return 8'b00000000; // 1466 1 ***0*** 11'h4e2: return 8'b11000110; // ** ** 1467 1 ***0*** 11'h4e3: return 8'b11100110; // *** ** 1468 1 ***0*** 11'h4e4: return 8'b11110110; // **** ** 1469 1 ***0*** 11'h4e5: return 8'b11111110; // ******* 1470 1 ***0*** 11'h4e6: return 8'b11011110; // ** **** 1471 1 ***0*** 11'h4e7: return 8'b11001110; // ** *** 1472 1 ***0*** 11'h4e8: return 8'b11000110; // ** ** 1473 1 ***0*** 11'h4e9: return 8'b11000110; // ** ** 1474 1 ***0*** 11'h4ea: return 8'b11000110; // ** ** 1475 1 ***0*** 11'h4eb: return 8'b11000110; // ** ** 1476 1 ***0*** 11'h4ec: return 8'b00000000; // 1477 1 ***0*** 11'h4ed: return 8'b00000000; // 1478 1 ***0*** 11'h4ee: return 8'b00000000; // 1479 1 ***0*** 11'h4ef: return 8'b00000000; // 1481 1 ***0*** 11'h4f0: return 8'b00000000; // 1482 1 ***0*** 11'h4f1: return 8'b00000000; // 1483 1 ***0*** 11'h4f2: return 8'b01111100; // ***** 1484 1 ***0*** 11'h4f3: return 8'b11000110; // ** ** 1485 1 ***0*** 11'h4f4: return 8'b11000110; // ** ** 1486 1 ***0*** 11'h4f5: return 8'b11000110; // ** ** 1487 1 ***0*** 11'h4f6: return 8'b11000110; // ** ** 1488 1 ***0*** 11'h4f7: return 8'b11000110; // ** ** 1489 1 ***0*** 11'h4f8: return 8'b11000110; // ** ** 1490 1 ***0*** 11'h4f9: return 8'b11000110; // ** ** 1491 1 ***0*** 11'h4fa: return 8'b11000110; // ** ** 1492 1 ***0*** 11'h4fb: return 8'b01111100; // ***** 1493 1 ***0*** 11'h4fc: return 8'b00000000; // 1494 1 ***0*** 11'h4fd: return 8'b00000000; // 1495 1 ***0*** 11'h4fe: return 8'b00000000; // 1496 1 ***0*** 11'h4ff: return 8'b00000000; // 1498 1 ***0*** 11'h500: return 8'b00000000; // 1499 1 ***0*** 11'h501: return 8'b00000000; // 1500 1 ***0*** 11'h502: return 8'b11111100; // ****** 1501 1 ***0*** 11'h503: return 8'b01100110; // ** ** 1502 1 ***0*** 11'h504: return 8'b01100110; // ** ** 1503 1 ***0*** 11'h505: return 8'b01100110; // ** ** 1504 1 ***0*** 11'h506: return 8'b01111100; // ***** 1505 1 ***0*** 11'h507: return 8'b01100000; // ** 1506 1 ***0*** 11'h508: return 8'b01100000; // ** 1507 1 ***0*** 11'h509: return 8'b01100000; // ** 1508 1 ***0*** 11'h50a: return 8'b01100000; // ** 1509 1 ***0*** 11'h50b: return 8'b11110000; // **** 1510 1 ***0*** 11'h50c: return 8'b00000000; // 1511 1 ***0*** 11'h50d: return 8'b00000000; // 1512 1 ***0*** 11'h50e: return 8'b00000000; // 1513 1 ***0*** 11'h50f: return 8'b00000000; // 1515 1 ***0*** 11'h510: return 8'b00000000; // 1516 1 ***0*** 11'h511: return 8'b00000000; // 1517 1 ***0*** 11'h512: return 8'b01111100; // ***** 1518 1 ***0*** 11'h513: return 8'b11000110; // ** ** 1519 1 ***0*** 11'h514: return 8'b11000110; // ** ** 1520 1 ***0*** 11'h515: return 8'b11000110; // ** ** 1521 1 ***0*** 11'h516: return 8'b11000110; // ** ** 1522 1 ***0*** 11'h517: return 8'b11000110; // ** ** 1523 1 ***0*** 11'h518: return 8'b11000110; // ** ** 1524 1 ***0*** 11'h519: return 8'b11010110; // ** * ** 1525 1 ***0*** 11'h51a: return 8'b11011110; // ** **** 1526 1 ***0*** 11'h51b: return 8'b01111100; // ***** 1527 1 ***0*** 11'h51c: return 8'b00001100; // ** 1528 1 ***0*** 11'h51d: return 8'b00001110; // *** 1529 1 ***0*** 11'h51e: return 8'b00000000; // 1530 1 ***0*** 11'h51f: return 8'b00000000; // 1532 1 ***0*** 11'h520: return 8'b00000000; // 1533 1 ***0*** 11'h521: return 8'b00000000; // 1534 1 ***0*** 11'h522: return 8'b11111100; // ****** 1535 1 ***0*** 11'h523: return 8'b01100110; // ** ** 1536 1 ***0*** 11'h524: return 8'b01100110; // ** ** 1537 1 ***0*** 11'h525: return 8'b01100110; // ** ** 1538 1 ***0*** 11'h526: return 8'b01111100; // ***** 1539 1 ***0*** 11'h527: return 8'b01101100; // ** ** 1540 1 ***0*** 11'h528: return 8'b01100110; // ** ** 1541 1 ***0*** 11'h529: return 8'b01100110; // ** ** 1542 1 ***0*** 11'h52a: return 8'b01100110; // ** ** 1543 1 ***0*** 11'h52b: return 8'b11100110; // *** ** 1544 1 ***0*** 11'h52c: return 8'b00000000; // 1545 1 ***0*** 11'h52d: return 8'b00000000; // 1546 1 ***0*** 11'h52e: return 8'b00000000; // 1547 1 ***0*** 11'h52f: return 8'b00000000; // 1549 1 7 11'h530: return 8'b00000000; // 1550 1 7 11'h531: return 8'b00000000; // 1551 1 7 11'h532: return 8'b01111100; // ***** 1552 1 7 11'h533: return 8'b11000110; // ** ** 1553 1 7 11'h534: return 8'b11000110; // ** ** 1554 1 7 11'h535: return 8'b01100000; // ** 1555 1 7 11'h536: return 8'b00111000; // *** 1556 1 7 11'h537: return 8'b00001100; // ** 1557 1 7 11'h538: return 8'b00000110; // ** 1558 1 7 11'h539: return 8'b11000110; // ** ** 1559 1 7 11'h53a: return 8'b11000110; // ** ** 1560 1 7 11'h53b: return 8'b01111100; // ***** 1561 1 7 11'h53c: return 8'b00000000; // 1562 1 7 11'h53d: return 8'b00000000; // 1563 1 7 11'h53e: return 8'b00000000; // 1564 1 7 11'h53f: return 8'b00000000; // 1566 1 ***0*** 11'h540: return 8'b00000000; // 1567 1 ***0*** 11'h541: return 8'b00000000; // 1568 1 ***0*** 11'h542: return 8'b11111111; // ******** 1569 1 ***0*** 11'h543: return 8'b11011011; // ** ** ** 1570 1 ***0*** 11'h544: return 8'b10011001; // * ** * 1571 1 ***0*** 11'h545: return 8'b00011000; // ** 1572 1 ***0*** 11'h546: return 8'b00011000; // ** 1573 1 ***0*** 11'h547: return 8'b00011000; // ** 1574 1 ***0*** 11'h548: return 8'b00011000; // ** 1575 1 ***0*** 11'h549: return 8'b00011000; // ** 1576 1 ***0*** 11'h54a: return 8'b00011000; // ** 1577 1 ***0*** 11'h54b: return 8'b00111100; // **** 1578 1 ***0*** 11'h54c: return 8'b00000000; // 1579 1 ***0*** 11'h54d: return 8'b00000000; // 1580 1 ***0*** 11'h54e: return 8'b00000000; // 1581 1 ***0*** 11'h54f: return 8'b00000000; // 1583 1 ***0*** 11'h550: return 8'b00000000; // 1584 1 ***0*** 11'h551: return 8'b00000000; // 1585 1 ***0*** 11'h552: return 8'b11000110; // ** ** 1586 1 ***0*** 11'h553: return 8'b11000110; // ** ** 1587 1 ***0*** 11'h554: return 8'b11000110; // ** ** 1588 1 ***0*** 11'h555: return 8'b11000110; // ** ** 1589 1 ***0*** 11'h556: return 8'b11000110; // ** ** 1590 1 ***0*** 11'h557: return 8'b11000110; // ** ** 1591 1 ***0*** 11'h558: return 8'b11000110; // ** ** 1592 1 ***0*** 11'h559: return 8'b11000110; // ** ** 1593 1 ***0*** 11'h55a: return 8'b11000110; // ** ** 1594 1 ***0*** 11'h55b: return 8'b01111100; // ***** 1595 1 ***0*** 11'h55c: return 8'b00000000; // 1596 1 ***0*** 11'h55d: return 8'b00000000; // 1597 1 ***0*** 11'h55e: return 8'b00000000; // 1598 1 ***0*** 11'h55f: return 8'b00000000; // 1600 1 8 11'h560: return 8'b00000000; // 1601 1 8 11'h561: return 8'b00000000; // 1602 1 8 11'h562: return 8'b11000011; // ** ** 1603 1 8 11'h563: return 8'b11000011; // ** ** 1604 1 8 11'h564: return 8'b11000011; // ** ** 1605 1 8 11'h565: return 8'b11000011; // ** ** 1606 1 8 11'h566: return 8'b11000011; // ** ** 1607 1 8 11'h567: return 8'b11000011; // ** ** 1608 1 8 11'h568: return 8'b11000011; // ** ** 1609 1 8 11'h569: return 8'b01100110; // ** ** 1610 1 8 11'h56a: return 8'b00111100; // **** 1611 1 8 11'h56b: return 8'b00011000; // ** 1612 1 8 11'h56c: return 8'b00000000; // 1613 1 8 11'h56d: return 8'b00000000; // 1614 1 8 11'h56e: return 8'b00000000; // 1615 1 8 11'h56f: return 8'b00000000; // 1617 1 ***0*** 11'h570: return 8'b00000000; // 1618 1 ***0*** 11'h571: return 8'b00000000; // 1619 1 ***0*** 11'h572: return 8'b11000011; // ** ** 1620 1 ***0*** 11'h573: return 8'b11000011; // ** ** 1621 1 ***0*** 11'h574: return 8'b11000011; // ** ** 1622 1 ***0*** 11'h575: return 8'b11000011; // ** ** 1623 1 ***0*** 11'h576: return 8'b11000011; // ** ** 1624 1 ***0*** 11'h577: return 8'b11011011; // ** ** ** 1625 1 ***0*** 11'h578: return 8'b11011011; // ** ** ** 1626 1 ***0*** 11'h579: return 8'b11111111; // ******** 1627 1 ***0*** 11'h57a: return 8'b01100110; // ** ** 1628 1 ***0*** 11'h57b: return 8'b01100110; // ** ** 1629 1 ***0*** 11'h57c: return 8'b00000000; // 1630 1 ***0*** 11'h57d: return 8'b00000000; // 1631 1 ***0*** 11'h57e: return 8'b00000000; // 1632 1 ***0*** 11'h57f: return 8'b00000000; // 1634 1 ***0*** 11'h580: return 8'b00000000; // 1635 1 ***0*** 11'h581: return 8'b00000000; // 1636 1 ***0*** 11'h582: return 8'b11000011; // ** ** 1637 1 ***0*** 11'h583: return 8'b11000011; // ** ** 1638 1 ***0*** 11'h584: return 8'b01100110; // ** ** 1639 1 ***0*** 11'h585: return 8'b00111100; // **** 1640 1 ***0*** 11'h586: return 8'b00011000; // ** 1641 1 ***0*** 11'h587: return 8'b00011000; // ** 1642 1 ***0*** 11'h588: return 8'b00111100; // **** 1643 1 ***0*** 11'h589: return 8'b01100110; // ** ** 1644 1 ***0*** 11'h58a: return 8'b11000011; // ** ** 1645 1 ***0*** 11'h58b: return 8'b11000011; // ** ** 1646 1 ***0*** 11'h58c: return 8'b00000000; // 1647 1 ***0*** 11'h58d: return 8'b00000000; // 1648 1 ***0*** 11'h58e: return 8'b00000000; // 1649 1 ***0*** 11'h58f: return 8'b00000000; // 1651 1 ***0*** 11'h590: return 8'b00000000; // 1652 1 ***0*** 11'h591: return 8'b00000000; // 1653 1 ***0*** 11'h592: return 8'b11000011; // ** ** 1654 1 ***0*** 11'h593: return 8'b11000011; // ** ** 1655 1 ***0*** 11'h594: return 8'b11000011; // ** ** 1656 1 ***0*** 11'h595: return 8'b01100110; // ** ** 1657 1 ***0*** 11'h596: return 8'b00111100; // **** 1658 1 ***0*** 11'h597: return 8'b00011000; // ** 1659 1 ***0*** 11'h598: return 8'b00011000; // ** 1660 1 ***0*** 11'h599: return 8'b00011000; // ** 1661 1 ***0*** 11'h59a: return 8'b00011000; // ** 1662 1 ***0*** 11'h59b: return 8'b00111100; // **** 1663 1 ***0*** 11'h59c: return 8'b00000000; // 1664 1 ***0*** 11'h59d: return 8'b00000000; // 1665 1 ***0*** 11'h59e: return 8'b00000000; // 1666 1 ***0*** 11'h59f: return 8'b00000000; // 1668 1 ***0*** 11'h5a0: return 8'b00000000; // 1669 1 ***0*** 11'h5a1: return 8'b00000000; // 1670 1 ***0*** 11'h5a2: return 8'b11111111; // ******** 1671 1 ***0*** 11'h5a3: return 8'b11000011; // ** ** 1672 1 ***0*** 11'h5a4: return 8'b10000110; // * ** 1673 1 ***0*** 11'h5a5: return 8'b00001100; // ** 1674 1 ***0*** 11'h5a6: return 8'b00011000; // ** 1675 1 ***0*** 11'h5a7: return 8'b00110000; // ** 1676 1 ***0*** 11'h5a8: return 8'b01100000; // ** 1677 1 ***0*** 11'h5a9: return 8'b11000001; // ** * 1678 1 ***0*** 11'h5aa: return 8'b11000011; // ** ** 1679 1 ***0*** 11'h5ab: return 8'b11111111; // ******** 1680 1 ***0*** 11'h5ac: return 8'b00000000; // 1681 1 ***0*** 11'h5ad: return 8'b00000000; // 1682 1 ***0*** 11'h5ae: return 8'b00000000; // 1683 1 ***0*** 11'h5af: return 8'b00000000; // 1685 1 8 11'h5b0: return 8'b00000000; // 1686 1 8 11'h5b1: return 8'b00000000; // 1687 1 8 11'h5b2: return 8'b00111100; // **** 1688 1 8 11'h5b3: return 8'b00110000; // ** 1689 1 8 11'h5b4: return 8'b00110000; // ** 1690 1 8 11'h5b5: return 8'b00110000; // ** 1691 1 8 11'h5b6: return 8'b00110000; // ** 1692 1 8 11'h5b7: return 8'b00110000; // ** 1693 1 8 11'h5b8: return 8'b00110000; // ** 1694 1 8 11'h5b9: return 8'b00110000; // ** 1695 1 8 11'h5ba: return 8'b00110000; // ** 1696 1 8 11'h5bb: return 8'b00111100; // **** 1697 1 8 11'h5bc: return 8'b00000000; // 1698 1 8 11'h5bd: return 8'b00000000; // 1699 1 8 11'h5be: return 8'b00000000; // 1700 1 8 11'h5bf: return 8'b00000000; // 1702 1 ***0*** 11'h5c0: return 8'b00000000; // 1703 1 ***0*** 11'h5c1: return 8'b00000000; // 1704 1 ***0*** 11'h5c2: return 8'b00000000; // 1705 1 ***0*** 11'h5c3: return 8'b10000000; // * 1706 1 ***0*** 11'h5c4: return 8'b11000000; // ** 1707 1 ***0*** 11'h5c5: return 8'b11100000; // *** 1708 1 ***0*** 11'h5c6: return 8'b01110000; // *** 1709 1 ***0*** 11'h5c7: return 8'b00111000; // *** 1710 1 ***0*** 11'h5c8: return 8'b00011100; // *** 1711 1 ***0*** 11'h5c9: return 8'b00001110; // *** 1712 1 ***0*** 11'h5ca: return 8'b00000110; // ** 1713 1 ***0*** 11'h5cb: return 8'b00000010; // * 1714 1 ***0*** 11'h5cc: return 8'b00000000; // 1715 1 ***0*** 11'h5cd: return 8'b00000000; // 1716 1 ***0*** 11'h5ce: return 8'b00000000; // 1717 1 ***0*** 11'h5cf: return 8'b00000000; // 1719 1 8 11'h5d0: return 8'b00000000; // 1720 1 8 11'h5d1: return 8'b00000000; // 1721 1 8 11'h5d2: return 8'b00111100; // **** 1722 1 8 11'h5d3: return 8'b00001100; // ** 1723 1 8 11'h5d4: return 8'b00001100; // ** 1724 1 8 11'h5d5: return 8'b00001100; // ** 1725 1 8 11'h5d6: return 8'b00001100; // ** 1726 1 8 11'h5d7: return 8'b00001100; // ** 1727 1 8 11'h5d8: return 8'b00001100; // ** 1728 1 8 11'h5d9: return 8'b00001100; // ** 1729 1 8 11'h5da: return 8'b00001100; // ** 1730 1 8 11'h5db: return 8'b00111100; // **** 1731 1 8 11'h5dc: return 8'b00000000; // 1732 1 8 11'h5dd: return 8'b00000000; // 1733 1 8 11'h5de: return 8'b00000000; // 1734 1 8 11'h5df: return 8'b00000000; // 1736 1 ***0*** 11'h5e0: return 8'b00010000; // * 1737 1 ***0*** 11'h5e1: return 8'b00111000; // *** 1738 1 ***0*** 11'h5e2: return 8'b01101100; // ** ** 1739 1 ***0*** 11'h5e3: return 8'b11000110; // ** ** 1740 1 ***0*** 11'h5e4: return 8'b00000000; // 1741 1 ***0*** 11'h5e5: return 8'b00000000; // 1742 1 ***0*** 11'h5e6: return 8'b00000000; // 1743 1 ***0*** 11'h5e7: return 8'b00000000; // 1744 1 ***0*** 11'h5e8: return 8'b00000000; // 1745 1 ***0*** 11'h5e9: return 8'b00000000; // 1746 1 ***0*** 11'h5ea: return 8'b00000000; // 1747 1 ***0*** 11'h5eb: return 8'b00000000; // 1748 1 ***0*** 11'h5ec: return 8'b00000000; // 1749 1 ***0*** 11'h5ed: return 8'b00000000; // 1750 1 ***0*** 11'h5ee: return 8'b00000000; // 1751 1 ***0*** 11'h5ef: return 8'b00000000; // 1753 1 ***0*** 11'h5f0: return 8'b00000000; // 1754 1 ***0*** 11'h5f1: return 8'b00000000; // 1755 1 ***0*** 11'h5f2: return 8'b00000000; // 1756 1 ***0*** 11'h5f3: return 8'b00000000; // 1757 1 ***0*** 11'h5f4: return 8'b00000000; // 1758 1 ***0*** 11'h5f5: return 8'b00000000; // 1759 1 ***0*** 11'h5f6: return 8'b00000000; // 1760 1 ***0*** 11'h5f7: return 8'b00000000; // 1761 1 ***0*** 11'h5f8: return 8'b00000000; // 1762 1 ***0*** 11'h5f9: return 8'b00000000; // 1763 1 ***0*** 11'h5fa: return 8'b00000000; // 1764 1 ***0*** 11'h5fb: return 8'b00000000; // 1765 1 ***0*** 11'h5fc: return 8'b00000000; // 1766 1 ***0*** 11'h5fd: return 8'b11111111; // ******** 1767 1 ***0*** 11'h5fe: return 8'b00000000; // 1768 1 ***0*** 11'h5ff: return 8'b00000000; // 1770 1 ***0*** 11'h600: return 8'b00110000; // ** 1771 1 ***0*** 11'h601: return 8'b00110000; // ** 1772 1 ***0*** 11'h602: return 8'b00011000; // ** 1773 1 ***0*** 11'h603: return 8'b00000000; // 1774 1 ***0*** 11'h604: return 8'b00000000; // 1775 1 ***0*** 11'h605: return 8'b00000000; // 1776 1 ***0*** 11'h606: return 8'b00000000; // 1777 1 ***0*** 11'h607: return 8'b00000000; // 1778 1 ***0*** 11'h608: return 8'b00000000; // 1779 1 ***0*** 11'h609: return 8'b00000000; // 1780 1 ***0*** 11'h60a: return 8'b00000000; // 1781 1 ***0*** 11'h60b: return 8'b00000000; // 1782 1 ***0*** 11'h60c: return 8'b00000000; // 1783 1 ***0*** 11'h60d: return 8'b00000000; // 1784 1 ***0*** 11'h60e: return 8'b00000000; // 1785 1 ***0*** 11'h60f: return 8'b00000000; // 1787 1 ***0*** 11'h610: return 8'b00000000; // 1788 1 ***0*** 11'h611: return 8'b00000000; // 1789 1 ***0*** 11'h612: return 8'b00000000; // 1790 1 ***0*** 11'h613: return 8'b00000000; // 1791 1 ***0*** 11'h614: return 8'b00000000; // 1792 1 ***0*** 11'h615: return 8'b01111000; // **** 1793 1 ***0*** 11'h616: return 8'b00001100; // ** 1794 1 ***0*** 11'h617: return 8'b01111100; // ***** 1795 1 ***0*** 11'h618: return 8'b11001100; // ** ** 1796 1 ***0*** 11'h619: return 8'b11001100; // ** ** 1797 1 ***0*** 11'h61a: return 8'b11001100; // ** ** 1798 1 ***0*** 11'h61b: return 8'b01110110; // *** ** 1799 1 ***0*** 11'h61c: return 8'b00000000; // 1800 1 ***0*** 11'h61d: return 8'b00000000; // 1801 1 ***0*** 11'h61e: return 8'b00000000; // 1802 1 ***0*** 11'h61f: return 8'b00000000; // 1804 1 8 11'h620: return 8'b00000000; // 1805 1 8 11'h621: return 8'b00000000; // 1806 1 8 11'h622: return 8'b11100000; // *** 1807 1 8 11'h623: return 8'b01100000; // ** 1808 1 8 11'h624: return 8'b01100000; // ** 1809 1 8 11'h625: return 8'b01111000; // **** 1810 1 8 11'h626: return 8'b01101100; // ** ** 1811 1 8 11'h627: return 8'b01100110; // ** ** 1812 1 8 11'h628: return 8'b01100110; // ** ** 1813 1 8 11'h629: return 8'b01100110; // ** ** 1814 1 8 11'h62a: return 8'b01100110; // ** ** 1815 1 8 11'h62b: return 8'b01111100; // ***** 1816 1 8 11'h62c: return 8'b00000000; // 1817 1 8 11'h62d: return 8'b00000000; // 1818 1 8 11'h62e: return 8'b00000000; // 1819 1 8 11'h62f: return 8'b00000000; // 1821 1 ***0*** 11'h630: return 8'b00000000; // 1822 1 ***0*** 11'h631: return 8'b00000000; // 1823 1 ***0*** 11'h632: return 8'b00000000; // 1824 1 ***0*** 11'h633: return 8'b00000000; // 1825 1 ***0*** 11'h634: return 8'b00000000; // 1826 1 ***0*** 11'h635: return 8'b01111100; // ***** 1827 1 ***0*** 11'h636: return 8'b11000110; // ** ** 1828 1 ***0*** 11'h637: return 8'b11000000; // ** 1829 1 ***0*** 11'h638: return 8'b11000000; // ** 1830 1 ***0*** 11'h639: return 8'b11000000; // ** 1831 1 ***0*** 11'h63a: return 8'b11000110; // ** ** 1832 1 ***0*** 11'h63b: return 8'b01111100; // ***** 1833 1 ***0*** 11'h63c: return 8'b00000000; // 1834 1 ***0*** 11'h63d: return 8'b00000000; // 1835 1 ***0*** 11'h63e: return 8'b00000000; // 1836 1 ***0*** 11'h63f: return 8'b00000000; // 1838 1 8 11'h640: return 8'b00000000; // 1839 1 8 11'h641: return 8'b00000000; // 1840 1 8 11'h642: return 8'b00011100; // *** 1841 1 8 11'h643: return 8'b00001100; // ** 1842 1 8 11'h644: return 8'b00001100; // ** 1843 1 8 11'h645: return 8'b00111100; // **** 1844 1 8 11'h646: return 8'b01101100; // ** ** 1845 1 8 11'h647: return 8'b11001100; // ** ** 1846 1 8 11'h648: return 8'b11001100; // ** ** 1847 1 8 11'h649: return 8'b11001100; // ** ** 1848 1 8 11'h64a: return 8'b11001100; // ** ** 1849 1 8 11'h64b: return 8'b01110110; // *** ** 1850 1 8 11'h64c: return 8'b00000000; // 1851 1 8 11'h64d: return 8'b00000000; // 1852 1 8 11'h64e: return 8'b00000000; // 1853 1 8 11'h64f: return 8'b00000000; // 1855 1 ***0*** 11'h650: return 8'b00000000; // 1856 1 ***0*** 11'h651: return 8'b00000000; // 1857 1 ***0*** 11'h652: return 8'b00000000; // 1858 1 ***0*** 11'h653: return 8'b00000000; // 1859 1 ***0*** 11'h654: return 8'b00000000; // 1860 1 ***0*** 11'h655: return 8'b01111100; // ***** 1861 1 ***0*** 11'h656: return 8'b11000110; // ** ** 1862 1 ***0*** 11'h657: return 8'b11111110; // ******* 1863 1 ***0*** 11'h658: return 8'b11000000; // ** 1864 1 ***0*** 11'h659: return 8'b11000000; // ** 1865 1 ***0*** 11'h65a: return 8'b11000110; // ** ** 1866 1 ***0*** 11'h65b: return 8'b01111100; // ***** 1867 1 ***0*** 11'h65c: return 8'b00000000; // 1868 1 ***0*** 11'h65d: return 8'b00000000; // 1869 1 ***0*** 11'h65e: return 8'b00000000; // 1870 1 ***0*** 11'h65f: return 8'b00000000; // 1872 1 ***0*** 11'h660: return 8'b00000000; // 1873 1 ***0*** 11'h661: return 8'b00000000; // 1874 1 ***0*** 11'h662: return 8'b00111000; // *** 1875 1 ***0*** 11'h663: return 8'b01101100; // ** ** 1876 1 ***0*** 11'h664: return 8'b01100100; // ** * 1877 1 ***0*** 11'h665: return 8'b01100000; // ** 1878 1 ***0*** 11'h666: return 8'b11110000; // **** 1879 1 ***0*** 11'h667: return 8'b01100000; // ** 1880 1 ***0*** 11'h668: return 8'b01100000; // ** 1881 1 ***0*** 11'h669: return 8'b01100000; // ** 1882 1 ***0*** 11'h66a: return 8'b01100000; // ** 1883 1 ***0*** 11'h66b: return 8'b11110000; // **** 1884 1 ***0*** 11'h66c: return 8'b00000000; // 1885 1 ***0*** 11'h66d: return 8'b00000000; // 1886 1 ***0*** 11'h66e: return 8'b00000000; // 1887 1 ***0*** 11'h66f: return 8'b00000000; // 1889 1 ***0*** 11'h670: return 8'b00000000; // 1890 1 ***0*** 11'h671: return 8'b00000000; // 1891 1 ***0*** 11'h672: return 8'b00000000; // 1892 1 ***0*** 11'h673: return 8'b00000000; // 1893 1 ***0*** 11'h674: return 8'b00000000; // 1894 1 ***0*** 11'h675: return 8'b01110110; // *** ** 1895 1 ***0*** 11'h676: return 8'b11001100; // ** ** 1896 1 ***0*** 11'h677: return 8'b11001100; // ** ** 1897 1 ***0*** 11'h678: return 8'b11001100; // ** ** 1898 1 ***0*** 11'h679: return 8'b11001100; // ** ** 1899 1 ***0*** 11'h67a: return 8'b11001100; // ** ** 1900 1 ***0*** 11'h67b: return 8'b01111100; // ***** 1901 1 ***0*** 11'h67c: return 8'b00001100; // ** 1902 1 ***0*** 11'h67d: return 8'b11001100; // ** ** 1903 1 ***0*** 11'h67e: return 8'b01111000; // **** 1904 1 ***0*** 11'h67f: return 8'b00000000; // 1906 1 ***0*** 11'h680: return 8'b00000000; // 1907 1 ***0*** 11'h681: return 8'b00000000; // 1908 1 ***0*** 11'h682: return 8'b11100000; // *** 1909 1 ***0*** 11'h683: return 8'b01100000; // ** 1910 1 ***0*** 11'h684: return 8'b01100000; // ** 1911 1 ***0*** 11'h685: return 8'b01101100; // ** ** 1912 1 ***0*** 11'h686: return 8'b01110110; // *** ** 1913 1 ***0*** 11'h687: return 8'b01100110; // ** ** 1914 1 ***0*** 11'h688: return 8'b01100110; // ** ** 1915 1 ***0*** 11'h689: return 8'b01100110; // ** ** 1916 1 ***0*** 11'h68a: return 8'b01100110; // ** ** 1917 1 ***0*** 11'h68b: return 8'b11100110; // *** ** 1918 1 ***0*** 11'h68c: return 8'b00000000; // 1919 1 ***0*** 11'h68d: return 8'b00000000; // 1920 1 ***0*** 11'h68e: return 8'b00000000; // 1921 1 ***0*** 11'h68f: return 8'b00000000; // 1923 1 8 11'h690: return 8'b00000000; // 1924 1 8 11'h691: return 8'b00000000; // 1925 1 8 11'h692: return 8'b00011000; // ** 1926 1 8 11'h693: return 8'b00011000; // ** 1927 1 8 11'h694: return 8'b00000000; // 1928 1 8 11'h695: return 8'b00111000; // *** 1929 1 8 11'h696: return 8'b00011000; // ** 1930 1 8 11'h697: return 8'b00011000; // ** 1931 1 8 11'h698: return 8'b00011000; // ** 1932 1 8 11'h699: return 8'b00011000; // ** 1933 1 8 11'h69a: return 8'b00011000; // ** 1934 1 8 11'h69b: return 8'b00111100; // **** 1935 1 8 11'h69c: return 8'b00000000; // 1936 1 8 11'h69d: return 8'b00000000; // 1937 1 8 11'h69e: return 8'b00000000; // 1938 1 8 11'h69f: return 8'b00000000; // 1940 1 ***0*** 11'h6a0: return 8'b00000000; // 1941 1 ***0*** 11'h6a1: return 8'b00000000; // 1942 1 ***0*** 11'h6a2: return 8'b00000110; // ** 1943 1 ***0*** 11'h6a3: return 8'b00000110; // ** 1944 1 ***0*** 11'h6a4: return 8'b00000000; // 1945 1 ***0*** 11'h6a5: return 8'b00001110; // *** 1946 1 ***0*** 11'h6a6: return 8'b00000110; // ** 1947 1 ***0*** 11'h6a7: return 8'b00000110; // ** 1948 1 ***0*** 11'h6a8: return 8'b00000110; // ** 1949 1 ***0*** 11'h6a9: return 8'b00000110; // ** 1950 1 ***0*** 11'h6aa: return 8'b00000110; // ** 1951 1 ***0*** 11'h6ab: return 8'b00000110; // ** 1952 1 ***0*** 11'h6ac: return 8'b01100110; // ** ** 1953 1 ***0*** 11'h6ad: return 8'b01100110; // ** ** 1954 1 ***0*** 11'h6ae: return 8'b00111100; // **** 1955 1 ***0*** 11'h6af: return 8'b00000000; // 1957 1 ***0*** 11'h6b0: return 8'b00000000; // 1958 1 ***0*** 11'h6b1: return 8'b00000000; // 1959 1 ***0*** 11'h6b2: return 8'b11100000; // *** 1960 1 ***0*** 11'h6b3: return 8'b01100000; // ** 1961 1 ***0*** 11'h6b4: return 8'b01100000; // ** 1962 1 ***0*** 11'h6b5: return 8'b01100110; // ** ** 1963 1 ***0*** 11'h6b6: return 8'b01101100; // ** ** 1964 1 ***0*** 11'h6b7: return 8'b01111000; // **** 1965 1 ***0*** 11'h6b8: return 8'b01111000; // **** 1966 1 ***0*** 11'h6b9: return 8'b01101100; // ** ** 1967 1 ***0*** 11'h6ba: return 8'b01100110; // ** ** 1968 1 ***0*** 11'h6bb: return 8'b11100110; // *** ** 1969 1 ***0*** 11'h6bc: return 8'b00000000; // 1970 1 ***0*** 11'h6bd: return 8'b00000000; // 1971 1 ***0*** 11'h6be: return 8'b00000000; // 1972 1 ***0*** 11'h6bf: return 8'b00000000; // 1974 1 8 11'h6c0: return 8'b00000000; // 1975 1 8 11'h6c1: return 8'b00000000; // 1976 1 8 11'h6c2: return 8'b00111000; // *** 1977 1 8 11'h6c3: return 8'b00011000; // ** 1978 1 8 11'h6c4: return 8'b00011000; // ** 1979 1 8 11'h6c5: return 8'b00011000; // ** 1980 1 8 11'h6c6: return 8'b00011000; // ** 1981 1 8 11'h6c7: return 8'b00011000; // ** 1982 1 8 11'h6c8: return 8'b00011000; // ** 1983 1 8 11'h6c9: return 8'b00011000; // ** 1984 1 8 11'h6ca: return 8'b00011000; // ** 1985 1 8 11'h6cb: return 8'b00111100; // **** 1986 1 8 11'h6cc: return 8'b00000000; // 1987 1 8 11'h6cd: return 8'b00000000; // 1988 1 8 11'h6ce: return 8'b00000000; // 1989 1 8 11'h6cf: return 8'b00000000; // 1991 1 ***0*** 11'h6d0: return 8'b00000000; // 1992 1 ***0*** 11'h6d1: return 8'b00000000; // 1993 1 ***0*** 11'h6d2: return 8'b00000000; // 1994 1 ***0*** 11'h6d3: return 8'b00000000; // 1995 1 ***0*** 11'h6d4: return 8'b00000000; // 1996 1 ***0*** 11'h6d5: return 8'b11100110; // *** ** 1997 1 ***0*** 11'h6d6: return 8'b11111111; // ******** 1998 1 ***0*** 11'h6d7: return 8'b11011011; // ** ** ** 1999 1 ***0*** 11'h6d8: return 8'b11011011; // ** ** ** 2000 1 ***0*** 11'h6d9: return 8'b11011011; // ** ** ** 2001 1 ***0*** 11'h6da: return 8'b11011011; // ** ** ** 2002 1 ***0*** 11'h6db: return 8'b11011011; // ** ** ** 2003 1 ***0*** 11'h6dc: return 8'b00000000; // 2004 1 ***0*** 11'h6dd: return 8'b00000000; // 2005 1 ***0*** 11'h6de: return 8'b00000000; // 2006 1 ***0*** 11'h6df: return 8'b00000000; // 2008 1 ***0*** 11'h6e0: return 8'b00000000; // 2009 1 ***0*** 11'h6e1: return 8'b00000000; // 2010 1 ***0*** 11'h6e2: return 8'b00000000; // 2011 1 ***0*** 11'h6e3: return 8'b00000000; // 2012 1 ***0*** 11'h6e4: return 8'b00000000; // 2013 1 ***0*** 11'h6e5: return 8'b11011100; // ** *** 2014 1 ***0*** 11'h6e6: return 8'b01100110; // ** ** 2015 1 ***0*** 11'h6e7: return 8'b01100110; // ** ** 2016 1 ***0*** 11'h6e8: return 8'b01100110; // ** ** 2017 1 ***0*** 11'h6e9: return 8'b01100110; // ** ** 2018 1 ***0*** 11'h6ea: return 8'b01100110; // ** ** 2019 1 ***0*** 11'h6eb: return 8'b01100110; // ** ** 2020 1 ***0*** 11'h6ec: return 8'b00000000; // 2021 1 ***0*** 11'h6ed: return 8'b00000000; // 2022 1 ***0*** 11'h6ee: return 8'b00000000; // 2023 1 ***0*** 11'h6ef: return 8'b00000000; // 2025 1 ***0*** 11'h6f0: return 8'b00000000; // 2026 1 ***0*** 11'h6f1: return 8'b00000000; // 2027 1 ***0*** 11'h6f2: return 8'b00000000; // 2028 1 ***0*** 11'h6f3: return 8'b00000000; // 2029 1 ***0*** 11'h6f4: return 8'b00000000; // 2030 1 ***0*** 11'h6f5: return 8'b01111100; // ***** 2031 1 ***0*** 11'h6f6: return 8'b11000110; // ** ** 2032 1 ***0*** 11'h6f7: return 8'b11000110; // ** ** 2033 1 ***0*** 11'h6f8: return 8'b11000110; // ** ** 2034 1 ***0*** 11'h6f9: return 8'b11000110; // ** ** 2035 1 ***0*** 11'h6fa: return 8'b11000110; // ** ** 2036 1 ***0*** 11'h6fb: return 8'b01111100; // ***** 2037 1 ***0*** 11'h6fc: return 8'b00000000; // 2038 1 ***0*** 11'h6fd: return 8'b00000000; // 2039 1 ***0*** 11'h6fe: return 8'b00000000; // 2040 1 ***0*** 11'h6ff: return 8'b00000000; // 2042 1 ***0*** 11'h700: return 8'b00000000; // 2043 1 ***0*** 11'h701: return 8'b00000000; // 2044 1 ***0*** 11'h702: return 8'b00000000; // 2045 1 ***0*** 11'h703: return 8'b00000000; // 2046 1 ***0*** 11'h704: return 8'b00000000; // 2047 1 ***0*** 11'h705: return 8'b11011100; // ** *** 2048 1 ***0*** 11'h706: return 8'b01100110; // ** ** 2049 1 ***0*** 11'h707: return 8'b01100110; // ** ** 2050 1 ***0*** 11'h708: return 8'b01100110; // ** ** 2051 1 ***0*** 11'h709: return 8'b01100110; // ** ** 2052 1 ***0*** 11'h70a: return 8'b01100110; // ** ** 2053 1 ***0*** 11'h70b: return 8'b01111100; // ***** 2054 1 ***0*** 11'h70c: return 8'b01100000; // ** 2055 1 ***0*** 11'h70d: return 8'b01100000; // ** 2056 1 ***0*** 11'h70e: return 8'b11110000; // **** 2057 1 ***0*** 11'h70f: return 8'b00000000; // 2059 1 ***0*** 11'h710: return 8'b00000000; // 2060 1 ***0*** 11'h711: return 8'b00000000; // 2061 1 ***0*** 11'h712: return 8'b00000000; // 2062 1 ***0*** 11'h713: return 8'b00000000; // 2063 1 ***0*** 11'h714: return 8'b00000000; // 2064 1 ***0*** 11'h715: return 8'b01110110; // *** ** 2065 1 ***0*** 11'h716: return 8'b11001100; // ** ** 2066 1 ***0*** 11'h717: return 8'b11001100; // ** ** 2067 1 ***0*** 11'h718: return 8'b11001100; // ** ** 2068 1 ***0*** 11'h719: return 8'b11001100; // ** ** 2069 1 ***0*** 11'h71a: return 8'b11001100; // ** ** 2070 1 ***0*** 11'h71b: return 8'b01111100; // ***** 2071 1 ***0*** 11'h71c: return 8'b00001100; // ** 2072 1 ***0*** 11'h71d: return 8'b00001100; // ** 2073 1 ***0*** 11'h71e: return 8'b00011110; // **** 2074 1 ***0*** 11'h71f: return 8'b00000000; // 2076 1 8 11'h720: return 8'b00000000; // 2077 1 8 11'h721: return 8'b00000000; // 2078 1 8 11'h722: return 8'b00000000; // 2079 1 8 11'h723: return 8'b00000000; // 2080 1 8 11'h724: return 8'b00000000; // 2081 1 8 11'h725: return 8'b11011100; // ** *** 2082 1 8 11'h726: return 8'b01110110; // *** ** 2083 1 8 11'h727: return 8'b01100110; // ** ** 2084 1 8 11'h728: return 8'b01100000; // ** 2085 1 8 11'h729: return 8'b01100000; // ** 2086 1 8 11'h72a: return 8'b01100000; // ** 2087 1 8 11'h72b: return 8'b11110000; // **** 2088 1 8 11'h72c: return 8'b00000000; // 2089 1 8 11'h72d: return 8'b00000000; // 2090 1 8 11'h72e: return 8'b00000000; // 2091 1 8 11'h72f: return 8'b00000000; // 2093 1 ***0*** 11'h730: return 8'b00000000; // 2094 1 ***0*** 11'h731: return 8'b00000000; // 2095 1 ***0*** 11'h732: return 8'b00000000; // 2096 1 ***0*** 11'h733: return 8'b00000000; // 2097 1 ***0*** 11'h734: return 8'b00000000; // 2098 1 ***0*** 11'h735: return 8'b01111100; // ***** 2099 1 ***0*** 11'h736: return 8'b11000110; // ** ** 2100 1 ***0*** 11'h737: return 8'b01100000; // ** 2101 1 ***0*** 11'h738: return 8'b00111000; // *** 2102 1 ***0*** 11'h739: return 8'b00001100; // ** 2103 1 ***0*** 11'h73a: return 8'b11000110; // ** ** 2104 1 ***0*** 11'h73b: return 8'b01111100; // ***** 2105 1 ***0*** 11'h73c: return 8'b00000000; // 2106 1 ***0*** 11'h73d: return 8'b00000000; // 2107 1 ***0*** 11'h73e: return 8'b00000000; // 2108 1 ***0*** 11'h73f: return 8'b00000000; // 2110 1 ***0*** 11'h740: return 8'b00000000; // 2111 1 ***0*** 11'h741: return 8'b00000000; // 2112 1 ***0*** 11'h742: return 8'b00010000; // * 2113 1 ***0*** 11'h743: return 8'b00110000; // ** 2114 1 ***0*** 11'h744: return 8'b00110000; // ** 2115 1 ***0*** 11'h745: return 8'b11111100; // ****** 2116 1 ***0*** 11'h746: return 8'b00110000; // ** 2117 1 ***0*** 11'h747: return 8'b00110000; // ** 2118 1 ***0*** 11'h748: return 8'b00110000; // ** 2119 1 ***0*** 11'h749: return 8'b00110000; // ** 2120 1 ***0*** 11'h74a: return 8'b00110110; // ** ** 2121 1 ***0*** 11'h74b: return 8'b00011100; // *** 2122 1 ***0*** 11'h74c: return 8'b00000000; // 2123 1 ***0*** 11'h74d: return 8'b00000000; // 2124 1 ***0*** 11'h74e: return 8'b00000000; // 2125 1 ***0*** 11'h74f: return 8'b00000000; // 2127 1 ***0*** 11'h750: return 8'b00000000; // 2128 1 ***0*** 11'h751: return 8'b00000000; // 2129 1 ***0*** 11'h752: return 8'b00000000; // 2130 1 ***0*** 11'h753: return 8'b00000000; // 2131 1 ***0*** 11'h754: return 8'b00000000; // 2132 1 ***0*** 11'h755: return 8'b11001100; // ** ** 2133 1 ***0*** 11'h756: return 8'b11001100; // ** ** 2134 1 ***0*** 11'h757: return 8'b11001100; // ** ** 2135 1 ***0*** 11'h758: return 8'b11001100; // ** ** 2136 1 ***0*** 11'h759: return 8'b11001100; // ** ** 2137 1 ***0*** 11'h75a: return 8'b11001100; // ** ** 2138 1 ***0*** 11'h75b: return 8'b01110110; // *** ** 2139 1 ***0*** 11'h75c: return 8'b00000000; // 2140 1 ***0*** 11'h75d: return 8'b00000000; // 2141 1 ***0*** 11'h75e: return 8'b00000000; // 2142 1 ***0*** 11'h75f: return 8'b00000000; // 2144 1 ***0*** 11'h760: return 8'b00000000; // 2145 1 ***0*** 11'h761: return 8'b00000000; // 2146 1 ***0*** 11'h762: return 8'b00000000; // 2147 1 ***0*** 11'h763: return 8'b00000000; // 2148 1 ***0*** 11'h764: return 8'b00000000; // 2149 1 ***0*** 11'h765: return 8'b11000011; // ** ** 2150 1 ***0*** 11'h766: return 8'b11000011; // ** ** 2151 1 ***0*** 11'h767: return 8'b11000011; // ** ** 2152 1 ***0*** 11'h768: return 8'b11000011; // ** ** 2153 1 ***0*** 11'h769: return 8'b01100110; // ** ** 2154 1 ***0*** 11'h76a: return 8'b00111100; // **** 2155 1 ***0*** 11'h76b: return 8'b00011000; // ** 2156 1 ***0*** 11'h76c: return 8'b00000000; // 2157 1 ***0*** 11'h76d: return 8'b00000000; // 2158 1 ***0*** 11'h76e: return 8'b00000000; // 2159 1 ***0*** 11'h76f: return 8'b00000000; // 2161 1 8 11'h770: return 8'b00000000; // 2162 1 8 11'h771: return 8'b00000000; // 2163 1 8 11'h772: return 8'b00000000; // 2164 1 8 11'h773: return 8'b00000000; // 2165 1 8 11'h774: return 8'b00000000; // 2166 1 8 11'h775: return 8'b11000011; // ** ** 2167 1 8 11'h776: return 8'b11000011; // ** ** 2168 1 8 11'h777: return 8'b11000011; // ** ** 2169 1 8 11'h778: return 8'b11011011; // ** ** ** 2170 1 8 11'h779: return 8'b11011011; // ** ** ** 2171 1 8 11'h77a: return 8'b11111111; // ******** 2172 1 8 11'h77b: return 8'b01100110; // ** ** 2173 1 8 11'h77c: return 8'b00000000; // 2174 1 8 11'h77d: return 8'b00000000; // 2175 1 8 11'h77e: return 8'b00000000; // 2176 1 8 11'h77f: return 8'b00000000; // 2178 1 ***0*** 11'h780: return 8'b00000000; // 2179 1 ***0*** 11'h781: return 8'b00000000; // 2180 1 ***0*** 11'h782: return 8'b00000000; // 2181 1 ***0*** 11'h783: return 8'b00000000; // 2182 1 ***0*** 11'h784: return 8'b00000000; // 2183 1 ***0*** 11'h785: return 8'b11000011; // ** ** 2184 1 ***0*** 11'h786: return 8'b01100110; // ** ** 2185 1 ***0*** 11'h787: return 8'b00111100; // **** 2186 1 ***0*** 11'h788: return 8'b00011000; // ** 2187 1 ***0*** 11'h789: return 8'b00111100; // **** 2188 1 ***0*** 11'h78a: return 8'b01100110; // ** ** 2189 1 ***0*** 11'h78b: return 8'b11000011; // ** ** 2190 1 ***0*** 11'h78c: return 8'b00000000; // 2191 1 ***0*** 11'h78d: return 8'b00000000; // 2192 1 ***0*** 11'h78e: return 8'b00000000; // 2193 1 ***0*** 11'h78f: return 8'b00000000; // 2195 1 ***0*** 11'h790: return 8'b00000000; // 2196 1 ***0*** 11'h791: return 8'b00000000; // 2197 1 ***0*** 11'h792: return 8'b00000000; // 2198 1 ***0*** 11'h793: return 8'b00000000; // 2199 1 ***0*** 11'h794: return 8'b00000000; // 2200 1 ***0*** 11'h795: return 8'b11000110; // ** ** 2201 1 ***0*** 11'h796: return 8'b11000110; // ** ** 2202 1 ***0*** 11'h797: return 8'b11000110; // ** ** 2203 1 ***0*** 11'h798: return 8'b11000110; // ** ** 2204 1 ***0*** 11'h799: return 8'b11000110; // ** ** 2205 1 ***0*** 11'h79a: return 8'b11000110; // ** ** 2206 1 ***0*** 11'h79b: return 8'b01111110; // ****** 2207 1 ***0*** 11'h79c: return 8'b00000110; // ** 2208 1 ***0*** 11'h79d: return 8'b00001100; // ** 2209 1 ***0*** 11'h79e: return 8'b11111000; // ***** 2210 1 ***0*** 11'h79f: return 8'b00000000; // 2212 1 ***0*** 11'h7a0: return 8'b00000000; // 2213 1 ***0*** 11'h7a1: return 8'b00000000; // 2214 1 ***0*** 11'h7a2: return 8'b00000000; // 2215 1 ***0*** 11'h7a3: return 8'b00000000; // 2216 1 ***0*** 11'h7a4: return 8'b00000000; // 2217 1 ***0*** 11'h7a5: return 8'b11111110; // ******* 2218 1 ***0*** 11'h7a6: return 8'b11001100; // ** ** 2219 1 ***0*** 11'h7a7: return 8'b00011000; // ** 2220 1 ***0*** 11'h7a8: return 8'b00110000; // ** 2221 1 ***0*** 11'h7a9: return 8'b01100000; // ** 2222 1 ***0*** 11'h7aa: return 8'b11000110; // ** ** 2223 1 ***0*** 11'h7ab: return 8'b11111110; // ******* 2224 1 ***0*** 11'h7ac: return 8'b00000000; // 2225 1 ***0*** 11'h7ad: return 8'b00000000; // 2226 1 ***0*** 11'h7ae: return 8'b00000000; // 2227 1 ***0*** 11'h7af: return 8'b00000000; // 2229 1 ***0*** 11'h7b0: return 8'b00000000; // 2230 1 ***0*** 11'h7b1: return 8'b00000000; // 2231 1 ***0*** 11'h7b2: return 8'b00001110; // *** 2232 1 ***0*** 11'h7b3: return 8'b00011000; // ** 2233 1 ***0*** 11'h7b4: return 8'b00011000; // ** 2234 1 ***0*** 11'h7b5: return 8'b00011000; // ** 2235 1 ***0*** 11'h7b6: return 8'b01110000; // *** 2236 1 ***0*** 11'h7b7: return 8'b00011000; // ** 2237 1 ***0*** 11'h7b8: return 8'b00011000; // ** 2238 1 ***0*** 11'h7b9: return 8'b00011000; // ** 2239 1 ***0*** 11'h7ba: return 8'b00011000; // ** 2240 1 ***0*** 11'h7bb: return 8'b00001110; // *** 2241 1 ***0*** 11'h7bc: return 8'b00000000; // 2242 1 ***0*** 11'h7bd: return 8'b00000000; // 2243 1 ***0*** 11'h7be: return 8'b00000000; // 2244 1 ***0*** 11'h7bf: return 8'b00000000; // 2246 1 8 11'h7c0: return 8'b00000000; // 2247 1 8 11'h7c1: return 8'b00000000; // 2248 1 8 11'h7c2: return 8'b00011000; // ** 2249 1 8 11'h7c3: return 8'b00011000; // ** 2250 1 8 11'h7c4: return 8'b00011000; // ** 2251 1 8 11'h7c5: return 8'b00011000; // ** 2252 1 8 11'h7c6: return 8'b00000000; // 2253 1 8 11'h7c7: return 8'b00011000; // ** 2254 1 8 11'h7c8: return 8'b00011000; // ** 2255 1 8 11'h7c9: return 8'b00011000; // ** 2256 1 8 11'h7ca: return 8'b00011000; // ** 2257 1 8 11'h7cb: return 8'b00011000; // ** 2258 1 8 11'h7cc: return 8'b00000000; // 2259 1 8 11'h7cd: return 8'b00000000; // 2260 1 8 11'h7ce: return 8'b00000000; // 2261 1 8 11'h7cf: return 8'b00000000; // 2263 1 ***0*** 11'h7d0: return 8'b00000000; // 2264 1 ***0*** 11'h7d1: return 8'b00000000; // 2265 1 ***0*** 11'h7d2: return 8'b01110000; // *** 2266 1 ***0*** 11'h7d3: return 8'b00011000; // ** 2267 1 ***0*** 11'h7d4: return 8'b00011000; // ** 2268 1 ***0*** 11'h7d5: return 8'b00011000; // ** 2269 1 ***0*** 11'h7d6: return 8'b00001110; // *** 2270 1 ***0*** 11'h7d7: return 8'b00011000; // ** 2271 1 ***0*** 11'h7d8: return 8'b00011000; // ** 2272 1 ***0*** 11'h7d9: return 8'b00011000; // ** 2273 1 ***0*** 11'h7da: return 8'b00011000; // ** 2274 1 ***0*** 11'h7db: return 8'b01110000; // *** 2275 1 ***0*** 11'h7dc: return 8'b00000000; // 2276 1 ***0*** 11'h7dd: return 8'b00000000; // 2277 1 ***0*** 11'h7de: return 8'b00000000; // 2278 1 ***0*** 11'h7df: return 8'b00000000; // 2280 1 ***0*** 11'h7e0: return 8'b00000000; // 2281 1 ***0*** 11'h7e1: return 8'b00000000; // 2282 1 ***0*** 11'h7e2: return 8'b01110110; // *** ** 2283 1 ***0*** 11'h7e3: return 8'b11011100; // ** *** 2284 1 ***0*** 11'h7e4: return 8'b00000000; // 2285 1 ***0*** 11'h7e5: return 8'b00000000; // 2286 1 ***0*** 11'h7e6: return 8'b00000000; // 2287 1 ***0*** 11'h7e7: return 8'b00000000; // 2288 1 ***0*** 11'h7e8: return 8'b00000000; // 2289 1 ***0*** 11'h7e9: return 8'b00000000; // 2290 1 ***0*** 11'h7ea: return 8'b00000000; // 2291 1 ***0*** 11'h7eb: return 8'b00000000; // 2292 1 ***0*** 11'h7ec: return 8'b00000000; // 2293 1 ***0*** 11'h7ed: return 8'b00000000; // 2294 1 ***0*** 11'h7ee: return 8'b00000000; // 2295 1 ***0*** 11'h7ef: return 8'b00000000; // 2297 1 ***0*** 11'h7f0: return 8'b00000000; // 2298 1 ***0*** 11'h7f1: return 8'b00000000; // 2299 1 ***0*** 11'h7f2: return 8'b00000000; // 2300 1 ***0*** 11'h7f3: return 8'b00000000; // 2301 1 ***0*** 11'h7f4: return 8'b00010000; // * 2302 1 ***0*** 11'h7f5: return 8'b00111000; // *** 2303 1 ***0*** 11'h7f6: return 8'b01101100; // ** ** 2304 1 ***0*** 11'h7f7: return 8'b11000110; // ** ** 2305 1 ***0*** 11'h7f8: return 8'b11000110; // ** ** 2306 1 ***0*** 11'h7f9: return 8'b11000110; // ** ** 2307 1 ***0*** 11'h7fa: return 8'b11111110; // ******* 2308 1 ***0*** 11'h7fb: return 8'b00000000; // 2309 1 ***0*** 11'h7fc: return 8'b00000000; // 2310 1 ***0*** 11'h7fd: return 8'b00000000; // 2311 1 ***0*** 11'h7fe: return 8'b00000000; // 2312 1 ***0*** 11'h7ff: return 8'b00000000; // ***0*** All False Count Branch totals: 496 hits of 2049 branches = 24.20% ================================================================================= === File: tbench/ahb_vga_tb.sv ================================================================================= Statement Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Stmts 48 48 0 100.00 ================================Statement Details================================ Statement Coverage for file tbench/ahb_vga_tb.sv -- 1 // stub 2 interface ahb_vga_if; 3 4 typedef enum bit[1:0] { 5 IDLE = 2'b00, 6 BUSY = 2'b01, 7 NONSEQUENTIAL = 2'b10, 8 SEQUENTIAL = 2'b11 9 } htrans_types; 10 11 logic HCLK; 12 logic HRESETn; 13 logic [31:0] HADDR; 14 logic [ 1:0] HTRANS; 15 logic [31:0] HWDATA; 16 logic HWRITE; 17 logic HSEL; 18 logic HREADY; 19 logic HREADYOUT; 20 logic [31:0] HRDATA; 21 22 logic [7:0] RGB; 23 logic HSYNC; 24 logic VSYNC; 25 26 27 modport DUT 28 ( input HCLK, HRESETn, HADDR, HTRANS, HWDATA, HWRITE, HSEL, HREADY, 29 output HREADYOUT, HRDATA, RGB, HSYNC, VSYNC 30 ); 31 32 modport TB 33 ( input HCLK, HREADYOUT, HRDATA, RGB, HSYNC, VSYNC, 34 output HRESETn, HREADY, HADDR, HTRANS, HWDATA, HWRITE, HSEL 35 ); 36 endinterface 37 38 module ahb_vga_tb; 39 import ahb_vga_font_map::*; 40 localparam IMAGEADDR = 4'hA; 41 localparam CONSOLEADDR = 4'h0; 42 43 ahb_vga_if vgaif(); 44 AHBVGA vga( 45 .HCLK(vgaif.HCLK), 46 .HRESETn(vgaif.HRESETn), 47 .HADDR(vgaif.HADDR), 48 .HWDATA(vgaif.HWDATA), 49 .HREADY(vgaif.HREADY), 50 .HWRITE(vgaif.HWRITE), 51 .HTRANS(vgaif.HTRANS), 52 .HSEL(vgaif.HSEL), 53 .HRDATA(vgaif.HRDATA), 54 .HREADYOUT(vgaif.HREADYOUT), 55 .HSYNC(vgaif.HSYNC), 56 .VSYNC(vgaif.VSYNC), 57 .RGB(vgaif.RGB) 58 ); 59 60 logic [7:0] checker_rgb; 61 ahb_vgasys_checker vga_checker( 62 .HCLK(vgaif.HCLK), 63 .HRESETn(vgaif.HRESETn), 64 .HADDR(vgaif.HADDR), 65 .HWDATA(vgaif.HWDATA), 66 .HREADY(vgaif.HREADY), 67 .HWRITE(vgaif.HWRITE), 68 .HTRANS(vgaif.HTRANS), 69 .HSEL(vgaif.HSEL), 70 .HRDATA(vgaif.HRDATA), 71 .HREADYOUT(vgaif.HREADYOUT), 72 .HSYNC(vgaif.HSYNC), 73 .VSYNC(vgaif.VSYNC), 74 .RGB(vgaif.RGB), 75 .checker_rgb_out(checker_rgb) 76 ); 77 78 logic display_enable; 79 integer reset_time; 80 task deassert_reset(); 81 begin 82 1 1 vgaif.HRESETn = 0; 83 1 1 @(posedge vgaif.HCLK); 84 1 1 @(posedge vgaif.HCLK); 85 1 1 vgaif.HRESETn = 1; 86 end 87 endtask 88 initial begin 89 1 1 vgaif.HCLK = 0; 90 1 1 forever #20 vgaif.HCLK = ! vgaif.HCLK; 90 2 1678906 90 3 1678905 91 end 92 93 string line; 94 95 1 839453 always @(posedge vgaif.HCLK) begin 96 if(display_enable) 97 if ($fell(vgaif.HSYNC)) begin 98 1 525 $display(line); 99 1 525 line = ""; 100 end else if (vgaif.HSYNC) 101 if (checker_rgb == 8'd28) 102 1 1826 line = {line, "#"}; 103 else 104 1 737014 line = {line, "."}; 105 end 106 107 task setChar(input bit [7:0] c); 108 1 30 @(posedge vgaif.HCLK); 109 1 30 vgaif.HREADY = 1; 110 1 30 vgaif.HWRITE = 1; 111 1 30 vgaif.HTRANS = 2'b10; 112 1 30 vgaif.HSEL = 1; 113 1 30 vgaif.HADDR = 32'h50000000; 114 1 30 @(posedge(vgaif.HCLK)); 115 1 30 vgaif.HWDATA = c; 116 1 30 vgaif.HWRITE = 0; 117 1 30 @(posedge (vgaif.HCLK && vgaif.HREADYOUT)); 118 endtask 119 120 class vga_stimulus; 121 randc logic [31:0] HWDATA; 122 123 constraint c_hwdata 124 {0 <= HWDATA; HWDATA <= 8'h7f;} 125 endclass 126 127 vga_stimulus stimulus_vals; 128 129 covergroup cover_vga_chars; 130 cp_hwdata: coverpoint vgaif.HWDATA{ 131 bins lo_1 = {[0:15]}; 132 bins lo_2 = {[16:31]}; 133 bins mid_1 = {[32:47]}; 134 bins mid_2 = {[48:63]}; 135 bins mid_3 = {[64:79]}; 136 bins mid_4 = {[80:95]}; 137 bins hi_1 = {[96:111]}; 138 bins hi_2 = {[112:127]}; 139 } 140 endgroup 141 142 143 integer char_index; 144 string test_value = ""; 145 initial begin 146 cover_vga_chars covvgachars; 147 1 1 covvgachars = new(); 148 1 1 stimulus_vals = new(); 149 1 1 display_enable = 0; 150 1 1 deassert_reset(); 151 1 1 display_enable = 1; 152 1 1 test_value = ""; 153 1 1 @(posedge vgaif.VSYNC); 154 1 1 display_enable = 1; 155 1 1 $display(test_value); 156 1 1 for(char_index = 0; char_index < 30; char_index++) 156 2 30 157 begin 158 159 assert (stimulus_vals.randomize) else $fatal; 160 1 30 setChar(stimulus_vals.HWDATA); 161 1 30 covvgachars.sample(); 162 1 30 test_value = {test_value, font_map[stimulus_vals.HWDATA]}; 163 end 164 // setChar(8'h08); 165 // setChar(8'h54); 166 // setChar(8'h45); 167 // setChar(8'h53); 168 // setChar(8'h54); 169 // setChar(8'h21); 170 // setChar(8'h00); 171 1 1 vgaif.HREADY = '0; 172 1 1 vgaif.HWRITE = '0; 173 1 1 vgaif.HTRANS = '0; 174 1 1 vgaif.HSEL = '0; 175 1 1 vgaif.HADDR = '0; 176 1 1 vgaif.HWDATA = '0; 177 1 1 @(posedge vgaif.VSYNC); 178 1 1 $display(test_value); 179 1 1 $stop; 180 end 181 182 logic rgb_active; 183 1 1653 assign rgb_active = (vgaif.RGB==8'h1c); 184 logic checker_rgb_active; 185 1 698 assign checker_rgb_active = (checker_rgb==8'h1c); 186 187 endmodule Branch Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Branches 7 7 0 100.00 ================================Branch Details================================ Branch Coverage for file tbench/ahb_vga_tb.sv -- ------------------------------------IF Branch------------------------------------ 96 839453 Count coming in to IF 96 1 839451 if(display_enable) 2 All False Count Branch totals: 2 hits of 2 branches = 100.00% ------------------------------------IF Branch------------------------------------ 97 839451 Count coming in to IF 97 1 525 if ($fell(vgaif.HSYNC)) begin 100 1 738840 end else if (vgaif.HSYNC) 100086 All False Count Branch totals: 3 hits of 3 branches = 100.00% ------------------------------------IF Branch------------------------------------ 101 738840 Count coming in to IF 101 1 1826 if (checker_rgb == 8'd28) 103 1 737014 else Branch totals: 2 hits of 2 branches = 100.00% Condition Coverage: Enabled Coverage Active Covered Misses % Covered ---------------- ------ ---- ------ --------- FEC Condition Terms 3 3 0 100.00 ================================Condition Details================================ Condition Coverage for file tbench/ahb_vga_tb.sv -- ----------------Focused Condition View------------------- Line 97 Item 1 ((vgaif.HSYNC === 1'b0) && ($past(vgaif.HSYNC) !== 1'b0)) Condition totals: 2 of 2 input terms covered = 100.00% ----------------Focused Condition View------------------- Line 101 Item 1 (checker_rgb == 28) Condition totals: 1 of 1 input term covered = 100.00% Expression Coverage: Enabled Coverage Active Covered Misses % Covered ---------------- ------ ---- ------ --------- FEC Expression Terms 2 2 0 100.00 ================================Expression Details================================ Expression Coverage for file tbench/ahb_vga_tb.sv -- ----------------Focused Expression View----------------- Line 183 Item 1 (vgaif.RGB == 28) Expression totals: 1 of 1 input term covered = 100.00% ----------------Focused Expression View----------------- Line 185 Item 1 (checker_rgb == 28) Expression totals: 1 of 1 input term covered = 100.00% Toggle Coverage: Enabled Coverage Active Hits Misses % Covered ---------------- ------ ---- ------ --------- Toggle Bins 378 54 324 14.28 ================================Toggle Details================================ Toggle Coverage for File tbench/ahb_vga_tb.sv -- Line Node 1H->0L 0L->1H "Coverage" -------------------------------------------------------------------------------------- 12 HRESETn 0 1 50.00 13 HADDR[9] 0 0 0.00 13 HADDR[8] 0 0 0.00 13 HADDR[7] 0 0 0.00 13 HADDR[6] 0 0 0.00 13 HADDR[5] 0 0 0.00 13 HADDR[4] 0 0 0.00 13 HADDR[3] 0 0 0.00 13 HADDR[31] 0 0 0.00 13 HADDR[30] 1 0 50.00 13 HADDR[2] 0 0 0.00 13 HADDR[29] 0 0 0.00 13 HADDR[28] 1 0 50.00 13 HADDR[27] 0 0 0.00 13 HADDR[26] 0 0 0.00 13 HADDR[25] 0 0 0.00 13 HADDR[24] 0 0 0.00 13 HADDR[23] 0 0 0.00 13 HADDR[22] 0 0 0.00 13 HADDR[21] 0 0 0.00 13 HADDR[20] 0 0 0.00 13 HADDR[1] 0 0 0.00 13 HADDR[19] 0 0 0.00 13 HADDR[18] 0 0 0.00 13 HADDR[17] 0 0 0.00 13 HADDR[16] 0 0 0.00 13 HADDR[15] 0 0 0.00 13 HADDR[14] 0 0 0.00 13 HADDR[13] 0 0 0.00 13 HADDR[12] 0 0 0.00 13 HADDR[11] 0 0 0.00 13 HADDR[10] 0 0 0.00 13 HADDR[0] 0 0 0.00 14 HTRANS[1] 1 0 50.00 14 HTRANS[0] 0 0 0.00 15 HWDATA[9] 0 0 0.00 15 HWDATA[8] 0 0 0.00 15 HWDATA[7] 0 0 0.00 15 HWDATA[31] 0 0 0.00 15 HWDATA[30] 0 0 0.00 15 HWDATA[29] 0 0 0.00 15 HWDATA[28] 0 0 0.00 15 HWDATA[27] 0 0 0.00 15 HWDATA[26] 0 0 0.00 15 HWDATA[25] 0 0 0.00 15 HWDATA[24] 0 0 0.00 15 HWDATA[23] 0 0 0.00 15 HWDATA[22] 0 0 0.00 15 HWDATA[21] 0 0 0.00 15 HWDATA[20] 0 0 0.00 15 HWDATA[19] 0 0 0.00 15 HWDATA[18] 0 0 0.00 15 HWDATA[17] 0 0 0.00 15 HWDATA[16] 0 0 0.00 15 HWDATA[15] 0 0 0.00 15 HWDATA[14] 0 0 0.00 15 HWDATA[13] 0 0 0.00 15 HWDATA[12] 0 0 0.00 15 HWDATA[11] 0 0 0.00 15 HWDATA[10] 0 0 0.00 17 HSEL 1 0 50.00 18 HREADY 1 0 50.00 19 HREADYOUT 0 0 0.00 20 HRDATA[9] 0 0 0.00 20 HRDATA[8] 0 0 0.00 20 HRDATA[7] 0 0 0.00 20 HRDATA[6] 0 0 0.00 20 HRDATA[5] 0 0 0.00 20 HRDATA[4] 0 0 0.00 20 HRDATA[3] 0 0 0.00 20 HRDATA[31] 0 0 0.00 20 HRDATA[30] 0 0 0.00 20 HRDATA[2] 0 0 0.00 20 HRDATA[29] 0 0 0.00 20 HRDATA[28] 0 0 0.00 20 HRDATA[27] 0 0 0.00 20 HRDATA[26] 0 0 0.00 20 HRDATA[25] 0 0 0.00 20 HRDATA[24] 0 0 0.00 20 HRDATA[23] 0 0 0.00 20 HRDATA[22] 0 0 0.00 20 HRDATA[21] 0 0 0.00 20 HRDATA[20] 0 0 0.00 20 HRDATA[1] 0 0 0.00 20 HRDATA[19] 0 0 0.00 20 HRDATA[18] 0 0 0.00 20 HRDATA[17] 0 0 0.00 20 HRDATA[16] 0 0 0.00 20 HRDATA[15] 0 0 0.00 20 HRDATA[14] 0 0 0.00 20 HRDATA[13] 0 0 0.00 20 HRDATA[12] 0 0 0.00 20 HRDATA[11] 0 0 0.00 20 HRDATA[10] 0 0 0.00 20 HRDATA[0] 0 0 0.00 22 RGB[7] 0 0 0.00 22 RGB[6] 0 0 0.00 22 RGB[5] 0 0 0.00 22 RGB[1] 0 0 0.00 22 RGB[0] 0 0 0.00 60 checker_rgb[7] 0 0 0.00 60 checker_rgb[6] 0 0 0.00 60 checker_rgb[5] 0 0 0.00 60 checker_rgb[1] 0 0 0.00 60 checker_rgb[0] 0 0 0.00 78 display_enable 0 1 50.00 79 reset_time[9] 0 0 0.00 79 reset_time[8] 0 0 0.00 79 reset_time[7] 0 0 0.00 79 reset_time[6] 0 0 0.00 79 reset_time[5] 0 0 0.00 79 reset_time[4] 0 0 0.00 79 reset_time[3] 0 0 0.00 79 reset_time[31] 0 0 0.00 79 reset_time[30] 0 0 0.00 79 reset_time[2] 0 0 0.00 79 reset_time[29] 0 0 0.00 79 reset_time[28] 0 0 0.00 79 reset_time[27] 0 0 0.00 79 reset_time[26] 0 0 0.00 79 reset_time[25] 0 0 0.00 79 reset_time[24] 0 0 0.00 79 reset_time[23] 0 0 0.00 79 reset_time[22] 0 0 0.00 79 reset_time[21] 0 0 0.00 79 reset_time[20] 0 0 0.00 79 reset_time[1] 0 0 0.00 79 reset_time[19] 0 0 0.00 79 reset_time[18] 0 0 0.00 79 reset_time[17] 0 0 0.00 79 reset_time[16] 0 0 0.00 79 reset_time[15] 0 0 0.00 79 reset_time[14] 0 0 0.00 79 reset_time[13] 0 0 0.00 79 reset_time[12] 0 0 0.00 79 reset_time[11] 0 0 0.00 79 reset_time[10] 0 0 0.00 79 reset_time[0] 0 0 0.00 143 char_index[9] 0 0 0.00 143 char_index[8] 0 0 0.00 143 char_index[7] 0 0 0.00 143 char_index[6] 0 0 0.00 143 char_index[5] 0 0 0.00 143 char_index[4] 0 1 50.00 143 char_index[31] 0 0 0.00 143 char_index[30] 0 0 0.00 143 char_index[29] 0 0 0.00 143 char_index[28] 0 0 0.00 143 char_index[27] 0 0 0.00 143 char_index[26] 0 0 0.00 143 char_index[25] 0 0 0.00 143 char_index[24] 0 0 0.00 143 char_index[23] 0 0 0.00 143 char_index[22] 0 0 0.00 143 char_index[21] 0 0 0.00 143 char_index[20] 0 0 0.00 143 char_index[19] 0 0 0.00 143 char_index[18] 0 0 0.00 143 char_index[17] 0 0 0.00 143 char_index[16] 0 0 0.00 143 char_index[15] 0 0 0.00 143 char_index[14] 0 0 0.00 143 char_index[13] 0 0 0.00 143 char_index[12] 0 0 0.00 143 char_index[11] 0 0 0.00 143 char_index[10] 0 0 0.00 Total Node Count = 189 Toggled Node Count = 23 Untoggled Node Count = 166 Toggle Coverage = 14.28% (54 of 378 bins) COVERGROUP COVERAGE: ----------------------------------------------------------------------------------------------- Covergroup Metric Goal Status ----------------------------------------------------------------------------------------------- TYPE /ahb_vga_tb/cover_vga_chars 100.00% 100 Covered covered/total bins: 8 8 missing/total bins: 0 8 % Hit: 100.00% 100 Coverpoint cover_vga_chars::cp_hwdata 100.00% 100 Covered covered/total bins: 8 8 missing/total bins: 0 8 % Hit: 100.00% 100 Covergroup instance \/ahb_vga_tb/#ublk#113977378#145/covvgachars 100.00% 100 Covered covered/total bins: 8 8 missing/total bins: 0 8 % Hit: 100.00% 100 Coverpoint cp_hwdata 100.00% 100 Covered covered/total bins: 8 8 missing/total bins: 0 8 % Hit: 100.00% 100 bin lo_1 4 1 Covered bin lo_2 4 1 Covered bin mid_1 4 1 Covered bin mid_2 4 1 Covered bin mid_3 3 1 Covered bin mid_4 4 1 Covered bin hi_1 4 1 Covered bin hi_2 3 1 Covered TOTAL COVERGROUP COVERAGE: 100.00% COVERGROUP TYPES: 1 Total Coverage By File (code coverage only, filtered view): 39.83%