diff --git a/rtl/AHBLITE_SYS.v b/rtl/AHBLITE_SYS.sv similarity index 100% rename from rtl/AHBLITE_SYS.v rename to rtl/AHBLITE_SYS.sv diff --git a/rtl/AHB_BRAM/AHB2BRAM.v b/rtl/AHB_BRAM/AHB2BRAM.sv similarity index 100% rename from rtl/AHB_BRAM/AHB2BRAM.v rename to rtl/AHB_BRAM/AHB2BRAM.sv diff --git a/rtl/AHB_BUS/AHBDCD.v b/rtl/AHB_BUS/AHBDCD.sv similarity index 100% rename from rtl/AHB_BUS/AHBDCD.v rename to rtl/AHB_BUS/AHBDCD.sv diff --git a/rtl/AHB_BUS/AHBMUX.v b/rtl/AHB_BUS/AHBMUX.sv similarity index 100% rename from rtl/AHB_BUS/AHBMUX.v rename to rtl/AHB_BUS/AHBMUX.sv diff --git a/rtl/AHB_GPIO/AHBGPIO.v b/rtl/AHB_GPIO/AHBGPIO.sv similarity index 100% rename from rtl/AHB_GPIO/AHBGPIO.v rename to rtl/AHB_GPIO/AHBGPIO.sv diff --git a/rtl/AHB_VGA/AHBVGASYS.v b/rtl/AHB_VGA/AHBVGASYS.sv similarity index 100% rename from rtl/AHB_VGA/AHBVGASYS.v rename to rtl/AHB_VGA/AHBVGASYS.sv diff --git a/rtl/AHB_VGA/counter.v b/rtl/AHB_VGA/counter.sv similarity index 100% rename from rtl/AHB_VGA/counter.v rename to rtl/AHB_VGA/counter.sv diff --git a/rtl/AHB_VGA/dual_port_ram_sync.v b/rtl/AHB_VGA/dual_port_ram_sync.sv similarity index 100% rename from rtl/AHB_VGA/dual_port_ram_sync.v rename to rtl/AHB_VGA/dual_port_ram_sync.sv diff --git a/rtl/AHB_VGA/font_rom.v b/rtl/AHB_VGA/font_rom.sv similarity index 100% rename from rtl/AHB_VGA/font_rom.v rename to rtl/AHB_VGA/font_rom.sv diff --git a/rtl/AHB_VGA/vga_console.v b/rtl/AHB_VGA/vga_console.sv similarity index 100% rename from rtl/AHB_VGA/vga_console.v rename to rtl/AHB_VGA/vga_console.sv diff --git a/rtl/AHB_VGA/vga_image.v b/rtl/AHB_VGA/vga_image.sv similarity index 100% rename from rtl/AHB_VGA/vga_image.v rename to rtl/AHB_VGA/vga_image.sv diff --git a/rtl/AHB_VGA/vga_sync.v b/rtl/AHB_VGA/vga_sync.sv similarity index 100% rename from rtl/AHB_VGA/vga_sync.v rename to rtl/AHB_VGA/vga_sync.sv diff --git a/tbench/ahblite_sys_tb.v b/tbench/ahblite_sys_tb.sv similarity index 100% rename from tbench/ahblite_sys_tb.v rename to tbench/ahblite_sys_tb.sv