From 5c8daf3ea00ac7f417acb050c64776c055815fa8 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Fri, 16 Dec 2022 22:16:17 +0000 Subject: [PATCH] Add fixes and documentation --- GPIO_formal_results.png | Bin 0 -> 170282 bytes VGA_formal_results.png | Bin 0 -> 102793 bytes readme.md | 68 +++++ readme.txt | 1 - rtl/AHB_VGA/AHBVGASYS.sv | 1 + sim.log | 566 +++++++++++++++++++++++++++++++++++++++ tbench/ahb_vga_tb.sv | 6 +- verificationPlan.md | 52 ++++ 8 files changed, 690 insertions(+), 4 deletions(-) create mode 100644 GPIO_formal_results.png create mode 100644 VGA_formal_results.png create mode 100644 readme.md delete mode 100644 readme.txt create mode 100644 sim.log create mode 100644 verificationPlan.md diff --git a/GPIO_formal_results.png b/GPIO_formal_results.png new file mode 100644 index 0000000000000000000000000000000000000000..a385140d91ec4b16cf4067cae879a24f65949185 GIT binary patch literal 170282 zcmYgYcRbZ^`&Su}P}xLA_TF0&W$%@pmA&_>tn6g(kYf|FS9Urf4i1ie?9H*~@7DME zJ>TaaFLC;O?)$p0@xI>I`xdUM{PI2yISv{c+I_iKFVxY{&_~eFZrR<%1V2GvTNFS; zdx9qS;<=_*#^$uA6Qy3j+^J*ZJ~76Q+&lWaK3J0uwVp8Eyf{9iyg0q+@6MIJm5sV` zzIDUf)FjR&)4JCAEiJkwaeSX;E%|D<+yp0-1|38QzJ$>{^TJQ4karDy_k%zQhK~Kv z1a%y4@`8?)71eUVkCWQwvvZj+#@kC7Odxfa=09INWehhl|NAegyL(J=!IO8mxVifz z{dU4G>aO>;FeX&~{Q%ozk!K9?IV~;m_;^&ZaCc3a_6?M}o?iQzyY1aDslxT)!Iu65 zef=y>~a*dj_ z87aK&A^HF7lDb>zwu-jq7CMF^IjNSs#zUmn&O0|%vPB*pDes?(`FiYhAw34Y^N>Q- zefjx6oGFLba90M57dfxio0>0%QOAj@8*5w0g?y-Pl8hfq`^15p}v2v@YUMM-gi!f^CHmDAJ7Zo9RM2$17WXdrV;nbM~F`63-Hj zZrt;VL4L8GJVbh{Cg@WSwwt=RM7-b$w?{<9M8D7dVmrC{v+CIo$#ir+rFcb#JMVgt zGVmH<`;$ZCOH8yP&9r+YoO?~z>-Z;(ZAVbC(=G=#C__5*bDudW8JUchmH`KeM7HO^ zD+xsHs{&Z|_$-BRjI=~HV|<_TF*O(N^()aT~o1_t$446Se*{pIuc~de=DsGEy(uyoNVlar_wTwiep;45hq z6&HWa&85tScRF76R6M}NM5`ZzL2WBqLUNg#&-Non#>S=&WhlrV&;NK&*L(=`Bzydr zju5A+#hTUlqMK8dl=WmHfX=LWZ&~!>=yG=QNohwuSTUg9QwK zw}&c)|BoB)IZgBAEDPZU1xe%L;yz^kxFEzOA<;fJ$HmV-dI6ig;1{F9Us|&G{yl3Y z=uX&Aa~?kwg|Rf8Zy>YnXIx(Ip3jt><79-reistEv9Zb8c4yJn_>@-YkI(jP>HxP7 zCVvKFwh&HD(;00}ah)$?c!h=g;BEUdQw}7_9LDo@8^V4P)WF*_#Aj-*&2=1Z_ zd7UtY#Zcy3BbFzS7#9KLIu&nL4$Y@VG&ADj)C?R*K;BT-)O;n8-CN7fr5BkmP?9YTps`x(pOEk@;xg?rh7?#h8W6^;IZ zk^|0^5*MrVsftO!sXsvM_0fJ>x@L&L6j2k}a)sFcwFz65Fx`kE>}y%eql#W>Cc>{d zrcZr6ww|Q--%`~u?4IN=89H36v*6JS4Gp!rM}mGkKvOHfH9&ZJ8t&yed+tiS9ovmF z-r_2H>-z9=J!xoky1!|sMP=r9JalQ5n)GX39W_P>Z+}@~amV;L`h;RARA;i!STZY@ zsq2ket)PAP1}cZ;7keZ1}>ZlnQ1lwlW#upVw z6c-z``h_S8K*z_0*4)yr-rr(Fq`_tlJy&<4DSK(+`(0{EDC(f%qLX+};$HDcNId6A zPS+6)`ELd3>NwX``#=!58+eH)BNI|Bs++{0Ij2r2Mm3o6D|JmV)Q%&pd?Q$@eIhss zj%?p@exySG?Xj;%U?3=BumCzXDGyu2ELlJXnMnAP+M! z2~rO7Te(eaMa1;r;EXq0-;oU1Qu5e-`^M?*$M$xbTE|(Dosg@?y{2B~37#_(z3rVZ z$tWnY*;VH}8k{Lt%FoaDkPHv8T^hu!()1hL^0z{-L<@`MHDF2JHCq3c0JdiR@*I!4 z3khnY*f2kd!PQkE85wRqCAw6_&&v7Xvb2Q}5#0xcWd(>UYQ$4fSNc_{WiokgApij`1)43m}0lRtGKkj>1sjOF6T-x!a=PK&x zxi=a5EhmzkIdU9a`a<_Ru07M5RoKH|++%LqkfOJm40GaIkQMXZ|_2Lkb56CnY82YhE4-;(v~X>5bX~$yYWa*JM>B`u_bC?|9c0 zj=4i`W~Pq|dtM;zD=I7Xmz4dBPu|Q=!|(FTsOiGSe~c^=l5iR>Hw7MxYie1zxkYt! zkosm%MI*#ps8Vv7ocv!Sk6W+l6k+CGUctcVGmOV`&P{5BAw4~qU_r?ob$gf87biX) zwmyy4GTzKuT8VY`vCyU5uU}J^ph&|SGdR*=D z((Ge56*NOQ6I)cJ%@U4;kXMT93a82IF}wZk9@`)!LF{a6Tao!Xl_5&eUo8_BiaSGNB+2HPNvxyd7ok^X9&r7 zvUKk+LC0&|d2>_=k`Wd77`fzLK5Ae*uE0yc3=h@pyAcNLb#0FkdUnjWRlAk6z z20V^Mv@qE}_}4dL%gh}-;Q^fJIqRFP%lB*FqUM-yTI1ii{`mJZCVIqWD+8#l zwi8 zU6s19kQ+9G_uou^cW-T1bxifqzbjgRNvSYsL{4M=`xzQ4vNPb7o!t&W|MRX`T>qVT zU*e|w|NdVoF`ff(^#A!>ml6QE0MF8A4@xGWM#shg#(pjkAHcNo&+B5#!_=2tnZL0` zwqD(0gTCH`+-y0vOqJ_}yVCu>7nHq~JN1qn$pxo&_X66ev*G7I5ZXp+A-%}>;IzLB zIe8JQB*hMDpyM?bz@TQSpEYkDO(oDa+dF2jv^j<1+tL{@U3T?6Y%S9lP}A3l&MkbK z7HXXaz95B!Vyd4YezdRPON`%p^0%3g;HOFto{Rz*W(Wpr8t=b(3zg{XMGt(u#r9mh zZI=43y1KTEPVZCsUnPBCHOzU7FeWV1@U31K{j(r9ug!-x6N*WL{@W^)o)e}1D%LMI_Px3uOe3>RpjZYA=VOYfVb$>0n0J9Uw5#ZzL>03q} z`#q^cbZ^^JI5!#I8~%o6JzA{gcT}BixM}&~yw0#Cwi2uF}e>Nj{60t)~Lm3Wg zjVdJtjIWaYUa#{XcmK2OoV+6PT6;5u025BCU5uSTXVPJG>r#HDI@b6-p*=Y(JgLJTKLJ|azw zgb)$O05T5?0SqLt=23d zNhj^EsX5BcGZF^fYLK{pa8;+5Bz!3|FD_3XA1w7e;52!;?rWFv)u^W&ef+VX(O>Vc z6k0ZSApG%%FR9GttEu<>2gyB}wC$&?TT!twpOl{VExRxk_Y{X>M*R9kma51_X*|Ba z&p`etCbCv7-EFKVFX-hR1Rpd0cvth>*p6gAfZzb2xQPT=q>_en>F=WVKBg!Yj%p_DEe*E)yvk$b7L%At`_-s**oBh|+M>os z*bFaU?$#T==y-7Z3N15J=XCXeOg0qrB;WV~H(<7foo^E@sTdaT%$=g1@(Mxkf@iI8 zXY`q%{bq)o7#^cqpB|<~Kzbnp!nxLyzb2*@4-xb7l&d6zSPuhOu)BK~jVks$=G=-$ zj}7|u8&}WS;l{R*JbQZo@bq+l_#?(YeD)s3hVXFNJiF<}LX+rbMs?W$HM+Z$L)JHN)ZqLX2*}GV5=B+0>=19 zc!^a0j7@jPNi!&ObT)=2246_HtPz4owhbyU5iZ)mwIoDblN4Fxr0p^8A40bq9wg;* zn+D6VII#70eH%VOkx5Zu$+)XlR%%hu?Krs`h+(MVT*A_@=gg69Z`xdt97D@aY9lgp zT3kV`uh8LhWAYa~wSHM%HoJ-3(cEYYLM?LANTh=hG70X-XS+Hx0rqApwG%HhVB{Lj)A2w|o&0 zYrtlRQCXRr2kWv4h_3hV9BXK5x(Nrz+A8s( z{RzbSvvR?ZduB(66!4hh;u*Io3WU0<>NDE7AACw+*_FDu$W*lqvq6Lps1t-%Hq&lLPNM*0Sts5p-j$~FYlf`;cCLmL<)i9 z`}~UD@=>xxcI+$q4~VTK`aA+DG1?>_wcGzhm{-Hn`Z1?OOS*rU#Nv2gwYi3d-%+9t z2&!PefZyTRA}Sg5?(?+1Nji@xRvGu6Q|j3BXVsLbwaj=w9c7cDEA!n=v+g(*;t<8c zVQNi3j`n`m`$ouuCykF((4F!n#C!GGI*=vthr`&)h89IOHWBvB6Nq-uD zuvE^3tpzD&NJxl5g9`?tcB0;y4uF^T_UDt6lW613-n=E+Wwhkc3qp9nR~3>uh9)NZ zfXfsYtE;PbK$Oy%SXn!{7U$(a(Z)E|Px!HMk4tP^!NUFW4zv6}1)hqtj-9c=7a{xM zmZYQ?Qv71(xF8#yY-ptvGk8tUEFc+j9pqq$6frl+M} zzGRG!zMqhk^qXOl>T4pa&S&V7kjEye@zs7ZHu`PS(DubedaHp98mic8|8ozVd-s4* za~m2`K?)ozHxw1E8R1h34GWv7wWp}m-K-7IGvMgmL-wX-WSAeWkFx34M!leIZx6z1 z04DumN9*A8-6#TByviEJz+q7T%+(bD+|?xP8nPsdxL5S!)mHd>UKs-e%F3A{GS1H2 zMinHHF|SEl!DOU^j}l>{{8!(nVA^)Mt*B+ zR)4x6Y>SE;IiMP zAcp4Zd1ynJ?+L#Z{7pZi88VsDGw6*H(~y)I&{iY5+py(LLSG-3t9V@Z_3K@y)zwvc zLL4JwLHmS6)(vKM_IBhRh?QFV*#q|4;^MlDqZIjkuggQYRl$=`DViisV`V17Mtfh} z&XmlU)#Gz;jzTfgv~gdmtK-Fek5tvv-Vd%wYiWTrWN&W|h`j-Z5jR7trC*5&2?=wD zGd}%-z0NfXt?DB zd{+)P+zbnV^>cG+F9IZyNTfl%lj#vTX3%p$uyG{c)6&wbwIc&v>(J1Uusu5)+t=FK zB#;2X>1JkTqThZmj5s?#m!p4(2BNjxpn)fyDM~gEe1G#|eSHcuGqca27x?(H3!Kw< z+m3ko_Zrl1m`b(7Whj<&j{IQbx+1aaXA(`@)J31EKhKwVhg%hE49BEj% zc9b~HbHjWtV{V6o6h%0}%{Vj_(fKKhnk3$%PfQrpGSAZ?AY%iT0<`BK^YximDJm+0 zKq@LN?b^sxhV;`<$jZvDGz(JVRr;Owhmi3pZ(Hac!pp7VUk2Hvyt}bxs_F8*TfKnALYjveKlFdMA%gLc}X`lpY zDkmpL*cRGPPXfwWL@ohN$RED@6>tT59>Z6(fW=1$ocd8gs{rQ;cJv2{kb2!f7n;XJ})CN@*nu+d@(yeSnc8;WX;0viku7N>yLq z9~zv7*n$)lSu4A|h1~t&_d6;g{R-0$WH4?*~ClW>pKV+Be6z*9K zW_5##p&j#zPuA2lDs7!bo1gDD@sn5i!)|UyOKz_Bk~xh#Nbah=R#)sSPK3WKRhkJ@ zyWNf1^K)gAltQr59;;IoJA^OdO`T-9X|NihUen)ZX+g~uj?ItYX|Hu=uXuW9+xGis z;DyG1%Vifn6@w)Ab3mu3d4{N*P43|jY?iCpv` z{{$@#WPzlAFhTQMAdc))BEBN<*Y(@8Eq-F={4am+{Q|eH1tL5Qb6A3G@Cyx zHfYRs4clq`+qri=bcbWtXtKyK#J4L$xL)=!T}WODn_XWb%wG@0wRok@2OhuF6;#A( z(U%bK<(Ug|3S4Pt;g-`R9R;# zBPk`AW<&(eM5{k*e?L2V&@C2LR%Q+kgKw^@jWMRAfG`$SSAe?%lsY;($|opT9dLOz z!5sMyqQ<$m0>=cvvuS!{WaJMF76f{T^70rjF=YjXdpW8fb=hi7E*le5)jT6|e=B3R z_#CnX1qFE;SZ&8MMSK9<9{6xp78L;A?(XiSq1?VLmXJ?4G{L%OmJttuvGZz{a4TAl zFxM2590O44en+D^!T|?QX0IaKXeIdNBpe5r|Gev|H=>?Y(YE7`I*%`!yZ|mZ_2a~^ptbvX}z)lx1?OrWZd`kM?kQ

zSKN1ZCJtU3igb3;fllY*D!r{toA8f&v~KBKZEva^;nsL15@H?Ci(LX51OmLUA--rQ zq2B|UqM+krijV>)kS^$GX>N`Vt-2c`b#i$%p{5T23TQ1%L~;Z;<%3)QUkjjd@(jlJ z3kscRa9uG}f{*Y;gTz7EYYM8NB0~_wy6X-1uV23aLp)xqME@|=e)jh{Xc0v{HottC z8-vel!+&t$f)9+h`inZ$`PRNJEG~|UiOJm%snm@c9#-d5YFc|ey?!?BkPfjXBq2cx z;ep_JLHpi9uik*;P33q7|0GBSZ1%h|*kVT1X#(%zqK0#pAjj-iJ;V%?{n%RoN-rq2 zO8`!Xf;{s!O_?Lx%FpjZ_&jVb@m$Ub(X!h!J--MqeOXy1_7JY_^e6Ks~ol9rCtXtM4^KF@>aA_wP-%cb^w zwi}*D+@^Z`>jRF7@pZu$!0>BvQ!O4h6c;~?B;pbi`v8(b;pz-TY=Ax<*v`>st7maA z3YVUuOx(WzglZsi9eR)_KnEe#E6P`&I2{hLrTG36VYIyxGLhW=2v)x!Y6+MOQy zxvi;n@+ljA`iBk35ooM&N$N%&$U~wIL2F(~iHU03+8zibVDJCt4KM#&9XSLgIu*-` zuDm;KfoL!nA^4=CuRaA4QKEUZ9x#}2V0nnW5<-nX^960+M3O5=dv-79ZQ%U4$?3Vd zZ}uBD{YjoWkvUNIs;C&p#Hj&C?oH||PJuk9mGHlpBzQ^M6J%JS`48x5ZmQVFE^J53 zy1(%U$853Ua4rSb*`=9Hl9!8{SNu>W8&2aWr)IK^{wqu{Ujy#GzL8w*=e>1U`_l~< zbPjJSr*#v)RN0VmwjA&P_uE9&Wh*3ef_dW4<^31AYKpLhu9wsprRt7kV?`fkF76-T=IG{_oeT^L1}r;_d2+@DI&fAYvr)Ds_J3xP%hx%{&Kjw zl&FD#A|w2A3;z0ImQ*D-W|p_>ALwC8x3#nY?&x)<&tbUnvs=K=Sc*4Rw;Ze{s>rX_ z9Q`8){g$7q;x{qGBKB#uPkZXB$b&Ud+y&5!e75PCqJJzBX~}!6m0;rDDWAkykx8{CfL{!mH+ttT{WmXkb@E^1rsOIz4oE!hs}_wj zzhS>C`F;~VB_&(W`)1xa{)~yxTnR}o`!ck!&`4H}HG7&El~;VMO@!b>!C5_&zff>I+>^uY3@N8z8vh#ays#HOVP7}N$<2S+ zXtu(Q!YTSH-ZU#k`1G$?31VY=8FDO1G4J1xE;X)8T3Db3%=H^V(5wf0l@=BYR9GiA zmRI|oy3ocko%+Q9df9=rtDxr=v0pm<$UiHaR)0N6>FdRM#Yt-@Sg6-3?~rsuo5@(F zc{hr1n5LbIZWk1@%)g~6E|&P3^qeC-Q|a43Q*CEaFe$iC=w_JBLBj$b_OTl&lmh9S z*4)cdhKM(}P2=GW_-mdMf_(xU6V_|_i%}^1M5+E}=}E-;pY{L#;tlq&q=>UkCE_14 z;h#m(Ne2P}gIqo=a{7PfDl}Bs^7cwKydnb1T%-n@wNuHJmE5?UUW?~6+gV)(;HkBW z44t6$2W-dNwA%50?@c+eB;xSiVcIhMRP^(~QDvJ8x=(raQLE$;tJ-5d`pkPxm!Fl>$_Br@3?IVqoW$ zSXXYmRYnsdT%Jwf@sk^O2qvZ4)ZaY%tUoH!`OV8h05>XiRz#FErs0{Q@~m=z*>t^%J!|(yg?a^ zoJjKhtO%)hYE3*DA>3U(`v0~1!y79AGb()UDw3EmxGqEg&oU#sq}ecv62NoVZ=Rz{ z(sb*ux&QehCjU>s{mmo54aHvlGlBT$w;#R#NO~uSn7t+rfAP6Q{4xdNt+Nv@Lq|yb z0vpp0zutY}ITw4R7p+|KU!#}0F+k^b@6wq)@~mm=-GVR?=W`LuXe>1~gfQ&=6aKpR z3s>v&3?qv1mEp_-hU-6;Jo1WIl2UtX+1v~}w>&!msqX2$w@TdGQzBFFvK7 zr^_HDzvd5HH~L_$Zd1^b-Zfcr)rExTzB8={7^Scn$Y&N6?@7b^R+Mt%{?Nt!=riYW zGum1>NF@%~cCDC0v2V3;W*>P?Re;I;&!0>?&2`x=D%&}bMw`m?gFj0sZ&}&i@w%Nm zSzd2QMzsz=)LU0uwG=h8lewn=qn2sbJtT}2`imr{>CQX^6Nzt1YX4L zi2dq&RglW}A3m)5A1O~o*o&H1;R`OG`en_}KbRC1VP=a`)6$arvD4cqA+>)I5?N}X zr&9XGVD>OIF64EJxLerZLQ7B1%PZcx>jq_3L{szp<=~x}?{`8_}lac=Ed;w2Ol?ISIQgOgioZC77_TtPAT``@`ZHNLtJwXp@B z=b(^fyVCoe?Q=N2xOhv~BWy*O6igF<$0dvW7qkxyILs>3nW=J9&r4oR(i&(6Ly?~p zA>ZsMlsw-f!XO*<{6viWG8`0)af-8hSL-{1Vy#ZtK0p276=YM_GrE5h6^RUYWhP z+No@@-nf0B>X!j2I`Idm1A-tZEM}kjYE{MS%^*hr65iIg9;#(tEj&3XdU6?v3PfRD zpLu%khfm)d*8rK*q1YU)aOFm~he{>YBPg<5f17Qwy>a)Ku~1Xj2y@uamC_#>YvM?BkUS0G*lp{VTds zdW^FMO#5nU=bGyJ=s3_ZPXBz3L}iLHwy20%K4PWEOewERN=$d(KvXi^D}%S6z4@pI z;pTb#NxlH61`-kzch^}tEA2Nd-*A(e>xN^(gb3XD(ilnff`TOU$x)hWlt9m39>Vuq$@w#JtZY2 z{eqg5ladH(v`8d;(Q)iI!0C6TW#n}Q=3H-_Og>3o!LDNuH{kox6@eBW*}J#>?{GK> zJVuR6M+++kmN=vl_gm?&ZEacU+4tW*dv z&Og%`c3O@lRyYl4)BE?++xANCjYvB)#yO=(o+2f?YV9Em1wu}{T!EL zS5rL23pwGk4i94661_f#IZ6!H#31K{1`gvAVySzb>;3XGua6|JGsK%bcEPSfJ}=Nd z?4!SPCkOy;J|*9|t#9@eGHR-XOYgH4{Hj0*c=-fVx6S+>l_SYf4YpIZaAApt6eSR1 zJaG+Shn{;mlq3^nI|Ux9KC+3(o#SyU{-y9-ZFT+e!uU{LseTI%h#D)Zgd`1ic*)QoJE&YVMwQH*ineU4>=}i_!?oKt;)&xH6@m18 zNMnSZzzy(=Pd4(38FO6$vRryfoGOPc@~p{obct*d(1}xq0Yr<1h3|URA;ZAHfQ=CZ zf`4wUAggQVSUjoi6^vVu%I3Ef;O5i#OV-x6A-TCxlWFPkHfBgK1Ghv_+tlj!%fs*yu zvdgV6yqjY5XuG3Z!S7^9PDG^q&4hqE)OKR#dvwp}{d>bN0Z6|KrWyp;Y86bYjRTc* zVR8cHJ(Y@XGVN7t1IEoCAw`-A#Ohi`5vFXv*@FjH@(Q^;U`KWW3i`f+fk#_A-<&%| z0H1-$9IP((_xj@6Ty>g-+9%hdKYM-Qm62pUo zqyBAx#)S|rF+gfL_gaNB4RRwgN~^Uu9sT74+CVqAjGe3LV_mhj3?Qea0>h)nk5_iC z=BbM+^ao%n;?Gr7sINB=fx?Wf^RGS*`m?n@dKBM$Ip7Oap2`y01>fsas;WLWad;dQ zs%a&TA2RDVLLS^jSFKsI=dDb=x?G(bY`uKkdNj(ZuCLLVx?Fv6QX}X+-(dAt*z=}j zfx6%g>Le~czUxKjBOn*42Kpq<)?GG>{HH(;VvjgDI&@v#RpT&rpi74WitjfhnqnNt zI1K}L@iP@B$`*30C+)<5{LSfPS4}z7fgw}*QDpb{%=bi~E%~eG_1fd1&J^nIhUx%7 z?=?jw;9xK~#-47uL*d8=G-tLO7L;9%ZpfwTS3 zMeSz3zt?0@g4ft4Bq#1Aa$brVPK##BL);*jk2jc69J)h+K&VSG9_ZaDDKA>rW|^T?fKyzU_TO<;MNci{xD5fuH9wN%|j)2b>#U@KXAo&E&S^#cwI;3;7)gQPrgbDbgFn zSihoD_r!Jka}LACj;=2H_wTZSpv@>?Pq^8r!jD)Io%dL0L&qlNh;-I_gD)tk#Q9vo z$@_LxjN;t#Lxc`)rA1=c`u;fMjIsDgFu8$oZ0AN!aVa{lu~j zOMJh&6q2FKcQNOn%}+!)4un|b+GRJoYbDrE^WjZI;5#kWjUpz_yt;~Pd^}3phu9hf z(dvd;eIp};K*al$5T`Q0kZ#^71=vPH#5n?Hm4+QA1)>Ki+D}&(tpUYHF;?-EJX-8i zE1P>Hkt?79G*mVrhf^5k-`!QUyiuA&ypEsc3z(Ui8Hia;fG(_>eZ{F^TI_gJ1N7Bk zqH^PYgd{}jT6)iYd$p9aM5F=ml4^gVm6&MIGAU!b;SE%ZH;#!`w!-1Gu*i&w6;@PK z)Zt9&<9jabywAsx++Li>O8hk&Ku!X{;1Xj4fC{EQS+<6xjrX9nsVStrTYIW{+Opnq z4{lutQHxvZ3B*6rpp@{T1Tw_h(j=fd6mMy{v4)N$>LLHA7H({m%kG~Cuk{BXv9diO z#Qi+D0*>nz9;MiCR!(shZ#^=AiYUd8Xu#wHQCksM8~8#^jYdn0=w`(V8^U1v!O8x9 za4(+#Xd{4vX1q2Uj;hWlO&hFry6B9DVW&^p*x8=>OxA{&uHINvxxiWi!Q)G}{#O%I z&0aPbFptU|(<$OF-H8rt-Gb`zH;4G4TZhpP;knJ|(e@sk5-qBU-E`*tSCl&I#w zIf9lJ{~N@4taRP#GqtT>4&~(&`#n)z2JG@+=xy-3Sx)@ng&2nmU+dSzAfO7%iXdFX z(lEZ^HE?9km*B_!sV z8V(fWc#nmDr7jcWm|=9`;6UQTu<($_N`aV@wc;xhw;}MYZ2*+V!x(>B1bR3+FOG)+ z`O`0X1#HX<@C}%v3A-FfD`$yGKYyNJ0kz@6H5<^BI%NwG0_5peu2-EC}MByjxv`9@>EdB!LAG_D#>8;c(VPvU|r4*O|1gfjDPP?=x9SV%Kda)pR3^^`D( z^1Ja5E^dA_K{ zSIBuESMscHgHNz-7v$jEDiW3Hj~G>|fzB|)+F>4eU$-+Q9<^}K+#fD|LC3`gaEC;V z5a^xZZ*4c`Mv5$NByUNS;CUZ|$G>|J^{Uit&v-pf3WtE( z>h6L2M5R9kr^Gc|gFzA~$dbb|GoXpf?#*2vP}=i9Zn@k<%F}1FxU3u5T>TY~#_+6Ho}2=G7Mx z)FC?49a}mp@g;ArwrtKc`1`zAnb4*ky2~kcjLWJs5(ixhXIv0evZtmZd+K_|W|dYD zKa}lzNF)!HcOrAS>}CY2q~!TGC`dGbCd4vofB2$vbEUv7pm13_!1&y#t3j62(0<*K z)`O{D#8=U>M-1RwLDt_35voLq@83Vqg(uI07&OctgwD+oFsfwF8($7MR@);iKfHhP zSHgFx`lvJ1RMp6+2Y>`2_r}!s?WXbyk<2V?U%!7(0io{Kx~Asd;(ytEI@VNbRP%YT zd7qYO$s(hT>$3U!3b-;M$?V~4TNuoaD0hgdqWM4!KvOL(VSt|mXU^6R4t@9Z){{8t zlA*i;5;4#vko`dresnX;D}3_PDoc~ApJPVYnn!5O%211hbBoDjv})XN)|h=ggBM)c zFnu2Umi`4#JdKrdGQIzwK0e^uKw`irQ2Mo^BHLEH1!$a{w=Xb0yhkGLvjVAMM<6b#rYJWA=D|1B!%v%{4dGm6Yw}JJxvH9) zT^hc}bEwn85`9_vhwUqKoq=RdNrfvL*40M}NyhvJ6Td^No%sqF+eZ*p_&&7cqhXXPx+Q zfA$u1@BA_P9f`9;?EfK-Vz^nLjTnEU`uKEQX10@5s*5NG#0MQ&*T zPXakus=PC#A*9BZV&c20xKS(?pd*x&MmGszP2OW#gc!-tCj@u@z-LteoPYG_(c3dO z^&QhvJpn-4tZ!Te9?gihmYf+~1O^=av@#FF<&h)BHVwXCMMRh%F>b40^4KP+ zzo}*GaykZ~uvRx|WZzP#r3U%(5Irh5@mU8IHVyWKumAo8>f-8TSNP$>SI=bsp!~$V z&{kXryxRu7vyZ)6W-8(aJljx0sd+qwrNx$82#OO}w(;UlMYF88HwIpqbcPj6NpZu* zfXr8VMl&wbS1KxI+fx%65{Am2DuY^yqO=?_Pd(W?-tv7>FCqy35@OulAfLpcr|9#V zg*+NFZ|Ir){wqS95I*AB+fo}P8E(SNl^(eVW#)`y+zjGZ#bY{kzooX`pg;ey<4%Wu zTd>9;`gMQQ8cayl?^9!=&xj4t%h+u5;jSr(wa_IT;W)Jixw5)t+K_&k4Ti zvVmJM`;t>KYR=d^-l}hR&;7s<45F0j*O{#^B_*{0qdmDmZ_c9d2uxM%xnr!`W0p++ z^w0YDC(rctv0PFqGqr={^E*~hIqEDjbxJ^hIqh(V?8OV&kCl2OEc|M55125qd;HeM zC8m1!(kG}sJefPHpQy}xSGz@{&*+NIR^AN=g z3j$yfTx2?W#Qn}2aFfVA6F&00cLhED1De!y;6Jkb&zVoW@WsW&y_$_EJ+L(P88AAt zRu9Dv=I&53iTrH5pS}jvU{5XRk2&<^XTFB7x;<}KdN!xpX9`h)n)MtMr6~3c+CTFS zx0U9IH{&Bct6#m7A)%q%-W!rYB@qGk0;ttd8#>4R7l0%rR=625SNFZpO=+F=4`bOV z)?}&bjb7TTkd#;3qp1x%dEk>7(-+V9(cvOnzqf6&s>k{h|As#Y=@oUZid+P%SW+^(G`H!l}6 zijFb5yNr-9uy)Uyb;|@gIM{SYZ1{aLiSvejAZNW2Qc~U~kXX-I@Q|7HT27BxQEUT0 zq~@lL2|n>6Cr=j&SV$iWz1u%>-P(-f(=Zf3cH+o z*-`8~WOt?hpeZxNKOiO!mCt_zOgq)$#yu&~w93O>Ultr3+~w3`Q$0Lw|2(h7Iq8{C zOV;YG&kuzt2j(Qr4ftk$eUMeU{p4=psLgkg$9GL?UWdm-yeQKKS8rEqCNYiuW-O5> z{SL9uo;)*}p(jsID=I3x*P49KmbwlRG`&q=HZ;EFf&Tni1?<*yR*Y0d*(U_`1fnm0 zY<~k!I4Aa!JOB0R{&;JPHtP^cStnad%V#HNvPF`o?Kmxn*6>R6_I{c7_mY^%d4@Gk zd*E#>4uqk9-twhH$$TIGUs@Ay5MD@QPC9F5stCJKC=y`q(k`e>#pd*-enM7t;a4AbS5@lrg& z&g48yv{E!f?Bs_F5CK|S+gl(ZWp7r4zH#|;85MNChc0awXi^lHvNnA?b?(hbjT#^i z=^j>4GJL!;2;W`S-#CHq1lA48{=Oghm_&fAqod<4-6~#%D$}>u_ND&5cRbQtc0_Ws zo2hZJ^EFx2ScsSsae|+rWzSOk)fsUrC%gV|;nWT-(OUnqU_bzbnNLMTaQdIh^INmE z))dGk@BF_OfN6BWo60v-Q71ORihA(r!2q?eLxI5wL+2{{k9%3 zEH10+=nM{-F@7L1GJ8v$su(JpN5lV=41_BUTU7WX*P+5ukPGbu^nXHSK<0Zh{)Q*m zRDhh|_N@+1^j>NQka%c2`oW78i4D2*mRDyrpu6 zSo9K$lu7WUpoBz>^wThGSlF0pSwcX>QQ`@D&1}>9qni>xCy>7|dH^ z`n!W*vk^6WS(z?d%i?kjBb|8SLeSG-%#S8KcqG~-_v8CssjJ@x@=ygw&Vqr2p_!r6 zzJ7BqQ$IYgzTwW76K6A6Y;BHYMa*ksV0`#Wr)6~xY)zGk$bUIC=xP3H=RsEwIP;MXaOGT1 zMWxf6Cs(@!``$h2a#f%me3@eO(#-6UmevYZS)_bEJuPhpux$i>(ifPuoZ>42b`0rs znPFW&TQ0JWpX6&jrT7HYB(9?yCHm#6FzcGHQHoC#E2Q()A5idqe-{Y97b4YOUzs=2 zilhTTAXUuy_Wb;^%5OD`x#QC!iNLJS>b$af@6SRp!9+^0-h^XlNG;d#?84iXiL>Gv z+8eXnTVzzRSPcd}UDyq)Zj-E9ORk+|Z&4#7<~+l&@uap#*D{qu9UTWzA0zW25MrSC zRIn`}MJ>RdBtug{;RfBL^R6nhN7$W2^$_?54FSQ&@NfnOku!~CIvN@p=e&kzO)S|1qo5yy{4KPS_n8ptFws{TtM9&F0 zDu0uSblOw4oo>;9q%P#W&c~7%F_agCO-hI(tihnN2rkDGQi}xxlmQaevZ8QY-29@^ z-QY6#1~7YmSy@o51P47g&3n{}t&@nMba|u!Rg?^`?-;IfCI`R}4)TS4mDw-& zaX4=Q>1t2Zz}Kdxbm^xrchCHS*A7oEZ(RgXzb+G4HDbkfxkH-_y^AlH2U`RB{DQoe zpsK2Bx8nnXM~~QFTV@G4<0M0)C+wsM(1FUI>;cJ3Ma5a}E^{6pXDJT(kPn`-2-)^+ z@!#2^VF%we) zQbno@E=?(7*0(FUxuuibDbmw~oEP}Gxz!3s$zLNT3Eq|dj!IwOUOPUwwzswzoVlcD zjO{YVZB(tRsngKXlAc+_2Bi^mCG|nO_p+kiX~?JB?NKoHGJCeyTqzaymN4@8otko_=MmN5)LFkC(C#Veir1^$}|?O@$4;^0 zZTW~R_{f{42_F3{N7Y0iRmf$L6#N;2b(B7+!o=(;3g+hYY9v!aH1$kcC7S**PZ=1# zEnF?#{8fwQHhWR3*yM!8IK{M23NkWJT~httd7Hj9J9i@Qb@cK5Y0gB1^Ep0V_qz#| z>&gJ};Q!I}CD2%|ZQGTS%2YBG8B!EwEJ}u?l#--EGG%Nu%S>gSD+$S*u!WF~OvxOQ zB*{F5%=65DTzkLo|F7>`>$lc^dlS#|-1mK5=XspRah&HBA#NjfKK|LB6EfZIMTLGU zNe16uYZ}$PR2ZGV#|h{0E18h{EjE+wOA9R2@}rtZqR_JWSZzg_(wT@!0 zqngz;rN?!74?Hp78m@$i-sOSAlcGF!;SiT|-qWYdKlaNCIH^&jI8lCh5Ut-+?k}6x zlzD$i=|@V3BE0%{tiR6OYR^BeBG%}eGre**mIO7o?*y6vsa!#^js-_>>q^71lcstK3VbId=$Fl43iqQi#H z!u_$+674&Ewe{HXRScF@Y{A>FCemE~k)>{bT-oZ0M>!7~1-iP7c$8`k`+NkWn>r*f zTyQ`2NRum};@gF)H;2{jQz{MU_b`^tzq+R?o%1RuC;HIKQ2IKb%`Y-d+ISueABb+c zvmeU~=p|Ekbq@=*?9ku6PZe5i#@gO?j0{W<-K!`%(3X$DCLt3EXquF^z0{a*SWk(Lv1E${fx z5n8c(_8~K@?S}9A$Cp;r?~8rsyT%c(VQkF2HusD5Ra#Qt+R}`mQ21lnjd^(vKXZrl zSLepoD(*s@W}%^Ube6HmrS_K?*yfb(Vs+qQYD>>{n~RJVn^3{|%OAe|JdMFM%Z`)& zRP8q8LIp$ z^d#rQlCDw?D$23^)^ugDBO%p?DRW;`875xkd%wHZSexp}lPB|zS5)lXW>`D=eokB= zogbDh?`9wP7A>tfzg4{e`*{kQ(eYo$Tew4x~`H=Fmn|y?={B*x+dzL(^ z7}~^@6D{*#dEb!Oz2D6)PCf_if);DG3B6BW$vhIEbHSly zfox*#@QW6*8H7?(fE1F?KDNo`G7TpD_{+O0B|uB1`BfP6L94a!eEf#s^Zq4+9}SMQ ztB%m?y_=;n&pmo^K+C;d=Rj&(S-*Fa#Gcb`I;$)Xp~3c9bWPZz*QNOMp7T&ZcKgd) z*1m|$-WD@^%zk)Ze0;oXcEy=7!RZKx*2w5@I&nOmbFPXW%?2NY{ytV}vrYDW?Nq*En5J_~z^|b@F=9XSw)#I+N?=H< z6Xf|6#NV6voNszDnvG+33z}wV@%@SmC+U?d`)(b%^Il`mVR(q*7@Ldy9 z9SH6%_uY~ril@Ea1&tog#lcqvfAmaAGj#;CT5QV-S2!2sBK(-s4BlxJHa+EjOtrFt z^5~OlyhX)v+VWsXHHy@gL!J9f1Y+7sE?n%n#ut3xoV)UYoh+X|3AwqOAE)*x6mCD2 zPDy!!NpVj~;bG>*9rzO8?S4vlAb%_Uo*4!8htb!rm@!Mcwe-D7&f6wS?*-`FNoV$V zH`|i0&n_v6AhS%nk9Ic?=r8r(X5+ZyurAg@@x(ccjlt*L&&U6<9PT~}Q~&PwPIA_w zwDf)pMj9tt`2@1xY$-_g?dXW_r?DC#g#zEw%ogue>gm2KMHuq8y3ela{#S`aqXFBfR^2@iP{lK=> zeM1lD%CtpKf0<@dnoGu)ocz{y>8>! zLcY9Ps0cW^!0LIPmYZtBdRh85!QXAdc{+Z3|M~ZSerBk+Un2%2C1xner>H!YGC9;! z9x!Mba6W!7*UgQh#)?at!%7#iRv;wKNj7{@m-VYE16( zzh6zRbt$JV3WPB1*;6)Ev|MFaC(QCGRMdgT(x@)q`)Ck0FTPm#P;(*QdWUNlh`| zpr1ay;4;`1clBDkb91Q-|G5$2{3pNkZMm{MOU+I`GxrFXPTl9R?fk>GJe!7JzfRq* zin*Z`b@xq$-h!g!|9c_Zh6erOJ6Rcb8lmCWef{yvJ~??hSN=|5m-~12l5I&+c#UU% zqE@eM_M0a^jeoOmn?QER`}apsw3t{srG}xc^eP{tQRbkk+L>-1uB=>AZ;zJ`TA@o@ zPE+&k^D_=CoLLp)r~ZA#9VMF-%6Cb8%X^m7cwoIzO(=-;IVmQAS1!pzmbE)~4)chJ zJVOm-YJF{=$qtop@+_!L{|vDn6p*gWFtkB=XJTzFJ@?w4RFM8&d($x>Sezua68nX}K=MrY4-?%=o zLhkNeeu0po4{c3ja}9X0TBAIZIW_^uACV*Y)DkC7ku#3`gfN7N@(pX>nib_u$5G^9zoye^-Log)BFj_ z2YD-$jmq8fT~-os1vBWZEjC@xG2^O}oT3I^(?zc$v`(5qcJxIoFVwmGPLNp{q)AP2 zD-Fgllp{xujJJ(Bhqx^FaEQNui{4@KHi6F5aYGjEGXiR-^o}8;9IeT`zm}mcEQg&i>S2 z9=znTS43pee&#Wmwm}s)hpYQhV?d=^OFQM!B z!`C(oGF98Q%YA)Ieq5aE#c;9u_VzDz&a;`)O+dqc{q@&;564>Nl}l1*R8^Ul=EnL> zU6xn@3lQ)FU=ri!_hsZ)ee(SIzSZ9|_6Pa-d*TA6yKBNk(K{X6G~^u^h>qRkijk6G z6w`1H8ghftEHCSI`S+ksw2%AcaTlW{<&7(gZi&uPjV7;9+00e zIr?EpKu=H4V_7Tv@4qu#)||-z2z2W{=A_@gz4-aTE2tt)cm)Rb$A@TtG7u5A{H<6X z$kEZih`Ttk*dOH*Sy_1wvx#hB`+~!Cd9kU8M?zwEnBs%l`?kruXjeoI9rA0=G#1q> zrK&NSx+v%(B#_b(y!gUxqoYXqY8Rag98Xb3f4(OuqlyaD~CiZ73NJB;Rf4h-A^j|jH|+EmAXhnrf= zwb{fq-nq<|Rz0cE&6oRYVn6f%j6-;N^ls?UyG()7iwE%21_lP>9BUIC2FAv8Nv*hx z?2hBIngUJlLYbulAv0m;G{3t^;Yr6)u9uG8{tl!#lR4`wWw?|| zOH0SUU%BLTN6M$9EBehF4{RRgYu7+spN*@h=isPA15udJO;7?|P4Vq=UG~x~W?fg( zsBFgCYpdM_GcErp`1ttd`(@TJu8UVf;$?5I0f<^OtfDa5 z&cNVWtV?>4BTm>@G-=rg8`ZG9Rpk#34!+l#^Yp}MPMppPLfp?>OWreQ&VWD_5fud} z10PDuA+4yTwTA=)Z-4(i?>n|UKI!Z%T@kQHP9Q|odD*t!;5a>%mnsY133C|y8{mlT?!xl$3amA76O!++{zQ z*LangBpnBbCBr4MB==H}VsL;byM1EEm_UecL&7BtXmru~e8PG87?vUQvDnzy#pO33 zDHIgeKi+2NTlOjGO2WIA5yz3cIwiV7^o)#W^z`>`b*^TLYITlz&%@3+hva_uI&K8<;pR+Ftg7zrZZ997*zD{O zM2_uy_SDW#^a$_vcS;4G#$9@)#EW;q&lOJio3=h#TyT^I)vu8<`*-^}wp5_kUW?rC z{n9;}7i5ZdlxS@>JC1HCKnbh~r@xk{9-k!L_CMYhcbQ>fKa`Jy>`x)v!;seGAoZ7| zL26Sb4KL-jM8v7t(Y6aFCIaT>=1$HhtOn=>nG0()7BuE>4saSU8cldDZ+D(A;g~Jz zI{fiYmWryXJPOk7+qYXicwn(SKOr4arI-Ho`}fmVt}vj>KUY)9%Ib)>w|BQ?c6N5d z&!6WU98O3_(FLDBgGv8&5VkaNjvARFS7}u3!v+=^?tC5U?>n zG2O75$>_@~1$%o5q+ZAI;?1ul?Vha@r`W;4o$c6+3MMIMIBmoKAHOVqsk^rw;%@⁡tf^51PE6NG zxs|1XrFQPZ1v%&z6SlWZOnxKGAm5s35vedP6a ziBh8E;-msD&^G^KnX8E*Ip}a9fha303pWza48-pCH0F(uplE=-I%7&j^;rN`Of@zJ zibv}J4qTmskO5x2VEXgt4^YmT6jdZ#vtw*dkq(LdS{HIvlNp20AMISB-6P@FFt|@x z_$e-7FE{u8<^j>ltm!F!;ZRB2+Z=C`k_H<(py;eCHO&R+0Yg!&%gN2H|1s;Nnpy}; zoMmf{dF)fy#yiL_kU!)tEJPli?932Rx_9sGu_A=pV}(9@ z_6*RNUffrpynhPQTdFW8BI;L2YC?~;xxfNu5c&^b6=2HFk%O5@3PpH zq^p$%8P1ce8x4DvrFL#DW9-X*6nXe+6MjrXLj#wH#i*yRkF-#(D>VJIQQnW`5dd^@ z@-~F#P+@&4I=Ui54^1^TZ#4EA8duN%DRK$!SnHj8n`68Q&%CJP(%G|{Wprkyq78r1 zt(W<_{%P`FJBvR-CGugEvQ2*@QjoO-JcbX6E~e4EF=^}q6z_}Ex5=Wc;BfH+Y)ftw zaay!eeXCxK#E+{c-~y1qY9mft`w> zLXS)xdsqo1I?4HQupz;2y8i6-biGYL1(TB&sJV{2tV%XEHewWril(OG>C+ntat=Fk z``a}d_8rAy9R&_^hAqntJ9e}1D29H#VOro`-3LY-uiyN^nmAt6EMatxD5 z!sy`OP4Y6FDOdS+9k+O$Q@MTPBU~0j6^8g=^@`X{8gSl{)&d+t$-cV0fJb@${CO-) z!{0Sw;EK}$RhbT{pVZL!_3+ebI4jdF=(4a)SXelJ zd9s?IfHdcsWIW_eK~<#~XM?$}dMkh5YyR|zVlzP;YfBxQJ5doG1Za*+Pk$=jZtL1p z5!hoTfqS~orrnO0z+9uu>neXKOqZaTYi+F&be`B|C9wyA*>S$h6LhnMg$0R-m8fTg zSg0wqIjA-!CMI$sv7nZeE*i9w0FJ^63J9QWHOU^3x4yk$13nHy5@rE~_j(*r%$aZb zdMC?o&xsey%Z|VpfikPdM2)}nB7?luF4zr(bV0D7l+~2X1pU}EfhjUon8sF?K2JXS z+N|kXIj$!$C1wAK6X7tx`2e5**%wy}77-Naf~&M!=nFxL{39;-`pp}Q@lILNIZwK{ zVbQ|dVw_Qi)u77l!#4haHJBiShw)F*CMp_)=U(p5T7fZC&FT7^kyMSoCth|+-L`ET zH>o5;Q(bNF^07lIGE>ZN{)Y|i0!p(I02VPk`~%|Uf+*ZcJBV$MM|6eGXxrfHcg4rAfgMM@#a zl5N}ax4%EDxQd#ZXY)P4k>f|i#C$f)HNHZv;MC(U4uMMl+iL~#ve*;ItSC2b9eH_^ zvHWcJubdyw&ig4F*1Ik0-n_}Bv+!B%Ck9l(aYE|RO71`*B>8o?sPf;n;RpHnKJ{4$ zqx6b&oK#>E&^*A`b_%;|a&>9!%9Sf6e_cyH9rhOE448EspMrU2cNi81xDw#+Ii#Jh z{;K2mmxyYzV320Uiq@pb7DMqV$ERk&vS0_{2kwOC#9_F-IsXixGSKd(ssW*>=e|!>xGfdE;o&nll0sa+@;?bMh9qTsD{d*9&mQ{TH6-0*T!LO%k@V*>&IQzZTQE%*%nSAkXaJlKJ(o zwG7b=IjpKHwv7Cv*UfwGIy1h{%1SrwkRC0V)8f33cEqLrX5*+c0wEnL_lHJm zbnma;-m>XxYk`CK?c29;*?^+R;h6a$YWVqia)vI5BR$2;oSYo#JnERSvE_v+l)7H7 zOJ$|yDlc|0e)Cvb4wRXz-eZd7>ApVnfy6ZHotl-FKe-jlh|o@8nGmT!c?^pe>-b?~ z)r1Pa)yVR}&=NQTn0(<0++V(usgZ&wig9mc5t6d>1A~Jx02)QBGii8MD4#F~f_`iT zJPI=apPU>83Z(UqKYQWLCa`Bfn2}5&9Hw}$qcDO@gV;?IC^=ba7`%7K4isa6w}2(( zAFPMWBqGS%OcG-OUQj}abskks4n`0qP|V+d#3rC&kVMGk&doB>F){1$b}A}6bQZsb zEc)F*mFDI~(yol?^(1M2H!<&wjEwo;;zd=+$z)s_@H`p02Ew9nCdG7Osbej&%$Hdo zQOf$y&P!4=&us&r#A3SylZ^BGw~_SX0Qc%dpv$yxrjc0q0J!?v3FIvE z-}10%ZDnjH6H}~8QqJ1ylIfqkg}0#3AYhjcTHzV+d|?CzdHwqJ*PlOs*2NCW)B8Nl zbC@%cUY*y+AeyQ#UyOddy^fpi`sx*%dFuf_K0VY+6kqJ%??`j(dTeR4iMivht(ZE#2qA1xVYV^)_LJ!M8Rz>9-&23BX z@CyHdez~WcH_3h+wCbK(AhXn(v+joc>^LQ&2B=Sl;VrDr6~Un2M-nk6OrC|zdaFKG z+R(_z=vids7bGsAc^*kgHWaepNeO8vUbH|XANLf4OEgu>b9aJZMd?arTJY;EY^{@7 zlSD#~t+C8ysm5~!Xr8v0BDm{%zOC?;1SM>|_zucTs4&;&D`ZIVwOM9f#WYnn)^CE(rqsuY20RGRW6gRUp7OHhtPa$z4 zIO`Ta-h?bZ-qNx90uyT}WKEgp_lf-hfmYL0v}%nEh5_dJ#Q-0kQK#;Y{K|UUUi9+E znu&Y;*O`0Fg8fB`U6ZFY?L$HzuH!bN+N*kIJb`1)JATTuiB=Pk3&jXdP>CSy9%2TW zYTiZ8xQ6I=*%g==D~wW!dpcsp}#yP8_v#(5{65XvfvV+KjyV5f&b*; zkv~!`gEfOk#l(0prtLTt?N)3G4%?|(almhsfFvJ&YiKxb*7;Dq?rZigCML1ySf%8~ z9jvSuE?ugN-Zc!k1MQy-JQ{AxBPd%nO&f!MxwyGq^F}}Tf}GgF&K{u1qYr}f)d?G= znt%kAB(&0ijr2;5Wn^T8M-c^284B+8UI785E(beK$1;ChQt}muB|RY)Wxim=a4~;W zH=tQdElet$cTsJ`I(dUhmISEw_VsONWOT=S0E!Ui;%=UxW7jr>;mfb62z1Xj<-iRc zNidjPTEV}g-u_&)I#l!wbruYdfFJ6Im@t2-s$j0&;f(uBr37wa;qCBw0Z5@jhb|!u z$_*4J36`<3k7s8eAR2weGi5Y7b!r1}tqjK3B{u$XzC9v0@){3)j*)8P>Fnnmh;4rC zQV?iM0FB9SW5iCzXF2aWftZOgfARJKOUd3y=8OTFr$Ip_z@O(XUc`Md>yNO(K-G^i za-Nh|6W#T+Jjz`kFP_W;d+8{L8Vk6)lAfVsdmrU!o0Ou7m-+*BcdyLT}*JvE>h zFSrJ~so&-(osiwX4qBa-mj_W#3KeN-_V8MHOG`@`U$FDlD76r|2#@mT&mFP*zrLpx zK+pw!weout3cJgfw@X9>ko(y!UkVUeRackr{{2;zL@jRiz_YJhF}QHx4<4z|Zhug6 zj?x`8{2eCQV2H<*Ra73gneal8!UvhiN^|m`pSMM6DSP$m^J8NkZ`;$3^rvX%?w|^| z!Y%LD8R;;}b@XU3ssl{OdR%MNgE6T44xmGW6#U@U#!JUApDXRf@fU**)qUn39lSboZY&IzeL8r`+w;i(v1aDmH{ zAw|8N#jYryGC|OS-T`+exjbGHD~Y61i8{E(vQDZ3ssr|deA3m7TlISZ4Zl3zv<;L~ z>ClYNi{+TCLQX1>fY1%K)8_t)Jp5j@1zZW8^gJhd@Ys0J0ti||lj}Jgq>-ph5i0E@ z(eUP?T+&q${c>u|mUSu#davnS&G)^#r>DxRs`IP2oDfAQC1b!=zQlDDQTsT5?(qwo z?fuSsCgf{Z>B3Zh5-K8uUo1cn1yz-mXkF-W1O{z2uh=s%W6yK(D45boT{yF#81Wv`Q$Ny^-ZEEqOYQ2) z5~_Dl+xANO17FBb0di#NrLl$A37y6pxv5$;rOZ94;%o zQAq+n#U(DDt#eWfp$8uXC7>Q#E}#Sk&B%220*Tx*HHFUkMlo!!vkkPHef#z)tE(Ry zcq|8t7gpuPi;O*zAf0^__F-u705~_$PxyT-6u3@tb;$4xJc{%^tu%cOmdDu8oM3^S z1;OJCcDYEH;?-7co;Ky1=k(@Dst5D4$d;*oXk};CI*|Q(j(e&2E`!;yDeK)7BYc^D zqq$dsIC_eO@qoxT{cd|4T zHRBrwOW&3Pj~od8?95uAwwu9o@uJ5eyBjxdRMplR4*yJty%_Xr+}S{EaoOR`B$B*f zJq50G*DeK^=D_aa7OSYMS7KKjhbRL1NDsdj+vHU`QW3nE+c8%3$65NSomsSWqDm4T z8S_{pCa$BmASpGq{7x47{FK0uiW=1nsHLhT?UR;{Jb2{|p=UuvkcS2xOd*H|8SQA| zXqU=$uJ@{TosTx7pn7{FI{pu{I9 zCz0A*KbwX~hr^l*>7un&5wHOZuG>ff>k>1({xmSbMF;Kxv^jvjAB*sYk0{>E}OP5nBNYG?6R5~@8V?yTTNp8J;NXz*z`YV4wD}$nVux|0od?*`01laU<7bdw-fHGP za~LbgzH}XIozoYHQmCHHpNiN|4>(&(gJi^tms2_jD{oa*6&Ek>S*tKIMH|IcXHTFE z6XPAMKopRcv%}YcX)3F#K(_k6eR~KrsXLxgbo4qvGz3)8?XitN5pNKGKX#c-Tk3;v z@2eH>z>`CE4#X7K^z_TE5h}@z*@i{b8#ileCu!Fd>uK>aY3*jnr}V-*k`>k zfHqasAxP<2@N@EPimK@SUq=pFHuXQ@_jgfB$c&0rj8UFmcyMZE$RaN?CjAOHfKf^{ zi-jf-Vqp2X&j!46Ua^m~?cQ=(Xv$U+NDHNPyq!bn_T3?nO7Y_HD$T}h?0j3@Px+PY z+|im^PT;c7qo0?eq}$HsK>r*uHa{qAg-R2-9+(vV3)Jgww+@2fw=OA(!-hpZ0o^)a zC4o?fwUg6kLZm}~|FU~xzW1fSAFsm;YEy9a6`YDWi4h7NRs$?w$HLj~DYE?$x0~P@ zFY8ad`j_nYhqD6LJWY8gO9LZP>}8+#yK3kTztPKc+sxX+9GkqF;U{- z6GHjBU0MvU>nGlX*qj^|-?F{-ga*)h5J2VLeLd?ABjgk?&fmU&FU3(ea3H&WJ{I!f zsmG@o$<_HD0-I3P z45Ex`P&n{a;o|_sU2pFb7zCzD87?6sR3h_$!R!R2M#4!4n>1~|a!u++B|WH$%P$^X zIz{Kc^DpKi-zOV{zdwi(?pHN0yKIc=a(Sw5jk^3o9Cecvv!`sF!xySv<@)@0z|fqZ0~?!@$t69yb}ddC|ar(!K!N z8r1$8RLSt|u}w$D9D2^x=YJ~Z<2^A^2n}R63)0?z^MuTO2kVU;F3j&M9~T2E*>y9W z&xs6>eueY-@U@^yK}2e**t&n`vHA{y++Lr zu12`zi!L@TOeUA03ZekcL+u3j~_pzw`M*0%Bt~k z(}wjRI8iqqi})hF^*%xm26RW|2<(W`p?t{<`V}yXfNaUB8d1<^=p#r|$mOkPj!+_W z6xN8j_&d`M^t2X(r(Ye+cb0MS_E%ynQ9sLP$w;5wzd4jwF z?1d5VEi5g)eSPJU8)+r_-QSLnJXlJw7yLIbe>M=QF%rdCbt_NI=w&ETbNk`?53*;C zg(Qn|C4gP(Gr$)}INTC%=ogqeZUKDs&6wdz_iWGkm1XP!*V!rGTnexJIqbN} z*%s5O&-`gmA|j+ZGu?WHQItafVPS3m&{?V>;<>9P7yM-mu?{{O7qKdA?iEsK7n;3u)!p!Tv+4vm!uFrLows6MgaWi!(ag;4=^nKJR_tPn}f@3?*~zI5bpL>Hua9yxu2)8^A{z zlz7mPLuOEf!?_HjP`9pq3RzI_ytF$`T?7JkFVibF%EWPkHQ)|G|MwJc<`~vDKS~c$ z5wdZ+%bF9R*@PqVYK`LFy?YW65a2vlSyN+xYl1;;0>$D}*u-A8GBYxw5VRf5?YBi4 zZ99~bt)BPbFrrg`j3@gi*fXG)^*hJ>GpJb;mEpX}qz_AE z?dUXfe&xQ6nYQXN&uitorly!QO$)*d;u#7Ou+&$sU-w3(!_D3E*8@j?d2WpqCJ>+w z4ta@JSy4v<`!A1}x-c}{Y8iR(1(Z0-{rH@#J6y>L8#vGg&MTnMN!%nfT%H+ntKM$0 zAO5#LmdhZz*(9vIKzX9YpslS9d?uvMRzZ)KWqL9B`Hq$0Ogo~q1jGg1^m6eM876HK zkeJb2PpUC(?RG)uH>Hi+fhv(`h|>uQIdls%Jo0Eii;6vZdt)yk)uQOCFB93PseK{z zQBKaW7l*EY0tLiM_%vcj1&@p)Lb{U@B6qkqgdgpv5#yol3#Yzri|Xm=?Ch-cTy*5K#1@(tAtNtoYe&9TT=Fx^buPrgCH%8f z7qFe9IjOpBW9n}KtKu2K@Fl6c{GOg`8)lE@xWa5=`RDsV_^IHhkp(M-afUO>- z0(c`P@mTC9M!CywZ|k*PR;+R3AbJ5b{FR)H(c&in*;xGLAyDI&Ya*q>31JHX7b6Z& zkHtW~{*afK-ZDbw{%UF-`Vb9_dSti1`dG4)7@n}YI*aA+nix=1pv)2Nlc4{A=^=7r zO-&8|-wi27$|&8~R{Jy86s(+&+zmp89aA z4VbC-w;P~$q7=Pgb&X-;BRF0_8*{$gJKA0lPCnX%ZK?Woyzfm!t#!b1g$uQ&8Tu8p z4~Bmhl2JXHIt|@$(mRWG8g`dubLbNfqaAv|7(o{#K0^Qoc*U`H(ZvUfqBMF%jaa#C z2Vy+Q0Vk$KD`IJdi+qncqw8-G3uT4Os(BRk6*ZJoFhrH2B3I8ey2v5gf`VtJMG8Ix zUQj?NVNfKI@)LX*y7oMv%8OY4xyo}X66Cu6BK04l?1Cs~M`1&B!hejhpm@bl-Eso$ zW8-DuJP+g!z-%{9Pv0iAkRaQCLGtmuR^;dB*Ndh3K@ao)D?6)rqYMx%mtn*_nW8$i zmO0nnWqnQU)2hQ#>Mu?Y z!VWkIXo#M6TgWk>F3t*sSPp#UTE#rui<$=R#~1)GL-N1xlgHTpu91<8fDLOyE-QkR zl$6TJjfd3VJw#0xxX^;~kW}3Gi|0N;%yH^QHAfhF6mi1N7hq%|O!6h;`(msYFJ9FA zVCB7;-FBUhPDff+%8sD$^dIifXnMN3WMQVeB)zZ?k`xS9xK^x3IQ!RBiO+z<56BQj zL^t-1;R0V%3*{dd7>CwIWVUpi&I6j#Eg^vy#CZ=f3?ogFnh+=LwA&9I#wwj}a#?BG z(ukek`TIAIeJm?AC5W!;xfc6ZR#rArQu?rWhlPd3rP=`;$%&{tGinBV3vc)8*yma;f|uce0==hl2kC@0SS`!y>}!(4KyK zw@}w8$H^_YWpbyABo_zbPMWo?($2}Yq3#Wk}8g*M*(^Lt^s`B!E5D_w1 zu?yIMc94e4j=g4QXD7-N1TnA|pmFk%^ySz#IyyQMbsP$0VPWBxESl6kvEO_bcY;mM%xc>}m3JV)^h95iu{Zn4(U&;_ztQ-1#3stHyiVQgXVTl%2ET-PF zC+t}EsrfMn}8}~mcLV)QW9IOh_aaMqwMe9isRCO8%8UBr(S40Ed z>3I(wK1@SLM+cIzO!39~_3Oc8QTx_|umhRZ8)h6CgF+Dj*-xIky}g~-1{6)qRv61b zl?vZTfc0zt{!Ms4ASEPqQt*NMrSNJ}7ZnkK-30IpfMZ~2DE#V_O3oMIANk0`#4`kI zG4c{vA5^syeC4`z%;4&g+)+J5!#?R+d{R^MRj4fvQN}4>pD(#AUb+06a`R?UmsLmL zIq;Tx9cls>fKxz3ToyYcq00IP@ zFQ8XY3y@V99UU!P?Hd@#D=r=akr@0h9FN;8+F=raQ3h1W1fPqe<1#&kDbOkwD}or@ z(xOI`THa|P#TMth4o<-g=&PpXRs^kE4k0}7*bn&|J)5i5yG$?1tQ?_qg5klR^=TwTSZ&M;j+Onhj z4;(lrse7Z)F?YU@b;cHy+5G%`XHO4$ZrCU&C;%zy<4$mLK15pF-XzI&V(ykh!BAgE zXVDh@<)>%aWnmN@8IgLUxbu16M7hB~_PaegIy&f5FTLkRq6%%JrqifV2>&p@q@<+D zK%Ro4iDnqW1NUNQ=K>E)3R!>3%Jkp~K%Zk}Qo)aN@Zjz5QvSI440~`#XcQj5X&5a3 zZl0>sfjy1IMZgHQ4!$trVt!%4TllN|DYVk>*zpND8Au}`E$!0xC{kq9)a;Ddg?A1; zZpi}z0jLGo)LbG$uK?>5+vCX-dZ-(>?%yvn$;K`vBOMMOzIa4L>Gl0nQpPo*kJ?Ny zz7iq?EwD{u2OuIcP=!{VDPxA$xKRJ}t|ZBY-k?Jo?{$}T6jfmKd>j(Oj4VPLwB|jY z6y}y1oT(J*w~~N!N6+j#Y*=0h@)OBAD?Xv2EJTe*3@?SgSAKLW8h%)ll5#)eZ@E1I z!2?#Q`xSTj(esZ3E(pWf75?t5_eY3=xfXp}A+*V5bmx8oA%F0|0a#c`vrPy?k)piG zw8Obp&DUeXxrunKs-T4HS?3qocVsguRG3Fp{ZfM;wzXAL+j)Wb=SnU)Pcj@#s<+vB zkkQAj)P|bNaN$<5iF?rc;ufV()^2y-d}^$D3T&yzbcIXdA=D`Fjs#cZeO*S>%E3^> z#uQrnd;6MJTzzL(7nfbp`Q;MS@n~fEp|*G*<{qF&@m%@;v`=*$bvsRomF~DWn6Pov zrl+r79op4USaOc?=oarW7C2Y(C(2o&O&OND%+1ak;i^&i`G=lS(X(>v-N7nu0xyGg zsWH3L^kpJlf!bbJ8FQ(_X@KY$Kqex_SqeJIljqJ=dNui*Ql9$cPMi;5PW)n0X^Or@vid^5=O_`x452}dWVEwr@kJ5HdL{P!Cpnw=tFr6x)l z?xBb%HFkU7n_8+7^du*kN;Y4|wrf*GGEi>njMG_UxIaUCId}EAH!z{^1RR+6XY_i_xHXIhmwNaRHgGKuxx8Y3W81b2c9Xql& zz_0@i$km5*k&!P&Rls<}Y@FWFO*-sYPgz`o+5dlig|3VBeAzY{otCzy`lHX|UCW-I z_x?7uX5Gi*FprpPmG zXaD_5nmah_?%0{Q%N>6f9Sv)YwxN4A`22^m#lXk^eWeF^dwk1$(0-e-_0k5iT%QzH z^q#^>4cdg2x+f`ZpUKhg%Bkri!q$JD-?)zAsJqM&oA&9`?b#prc688x6A!`X4{ZhH zG3z@o3?Okb<%LRl$DRMaSS$=Zv3m!x9qjk*sjz-sh-N`A%A?}qhht)jvoi$5iO*zo zwETZup-gRCXKgo@7puQ8C}BzaK(`%7_M zRWvk||Mexj-sFSqh&!`(=gM41QFB`G|9TdjP@i%g7eqi+i7%rU5a)?9lAnT0RXoN+#~%lBf=;sQ3s!@WLKzKTAKajzE4HBV z>Tq(nTWQLhw6s9{#($QxP_Hx*|Womv29N!bpTf)Vf=oH!{j;1T7PHceMg zOiJo%RMSCiHqDCGJA9Rjd!u71CB?sJWyBO+Wz%;L-yOHN5{=Aj?mK@@k+P81=~wVd zM|SX-Ud!_y84+nvz{64*As3Cs>t_{N%M8n=`=+~)Za_YwVZ~_YR4~+ha4R@U<|4e* zKz#7CYp3z<+qaQ}BkG2B#RM6Aj)+WLw7ikFkC9?oo%?myqfP1(kidl*cq-zHilVS8 zSW_u9uT<7WoT%Vfn?DQnFc7ppz%$NaQbuzMzzuRqWgkQ4n#Lg}0qy*Acta#^bYnrG zE5Gjvh6PM6v}92fU108@(g3qy1R_EWri_4N2YZJo4it-aJZim6ot^NE0|N z32y|iB2MSLEVi(;gvNMc-T>_cX1)FU2e5hm?8!XO!iV2AG7?C`ctN7I-M(E6`_ZR?Gg0hx2@S^$)@(5Qwp{)O>>L-V?qDn+sd+h2;Is)K>=0PEX&IHmT6{CCr zSUe{;7ji^#pv$s8eu~spp5N=h7;0;OfuunCQE&ygwt5*6L@`cQm^ZiJF&xKn-Gc#;uVaPH<91voOPh|cyc&b5;HD{+wr+MV?>^1 zl&s8Xu-kLu0Xpd1RqU<|&t`AL;=*1a7CIn;9%8sJnvlR%z;OB*kgsSWL#u0XvGK}?7~MSy(h$@AS zEz;y_GEnf?Z%=DLF=EL0j~k|!VUUI+#Zk@>Svbg1%knoz1R^YoQd@Ym(Q{UT&N;kn zgiU)D+KRB6xJMm8jvYsf9Q1p%F7rd10||G%$Qc8H4u44c{0j2>pq0}6xZ0MM8%9TZNst4?Ma7Avb`@;Drph`*$+B_F$`#aA_X z|B)ll(W>Z0`Up?_T$cFHN`8}PH)SLi(PmW}%5&`9J&(7U*O#5@Iy~*N92+zX?1OQS z$3f&L^%R!7XvNV&d(5x2Dt9QjpqsT zDu>hbcH-NG^LNb@q63=9`e14yz0<_hO0Ec6W9KjNLx&Fa{%K6^wnejS{`9vCgg!t$ zCA~Z@w>q65GXb|dbuy7G$Z30@+_wR2JpxCAJ&yA}4c1mymhn@4Gfg_Y0sxliA(v{RWH>-NBZs2m!Quy-O4xpq`A|8b*T$;y=b?iKV~|EsM#nY`!pxBH z`n4NOejqfCIV~Om>Cln*q%aH}V8aiVQc}xbmz$6f#*?x^xOqst8P^SzNDQ0c;&5cx zx0KXpZl$l~UyAC`!QG(`{tiiFl~|x51rUOtm{G8Vw=o@JG4H@hNG7Be77P)7c?Yuo zGAz6XbE9qG+p(@pHTI;a^5fgKwzlW)erY<1oPcJRZ>*>GnXrLbLhlQb0lJ9BJld@@ zXNpZ(5oORWw7Sx<7JI0*St20CEw6EQi4$+$>wb$<+M2}ewmKmqPiZx=*?-rv7*i*tb5fp;CjeLKQv zty$=}3u^||1Zmtrt^V<7U?$p(_8?OtM!~pO-qaLwmfenklA9wqsxKBz%V-Dj;k|=+ zM>_H0#52PqMIOEY<2rOI;tfF}z>Tee=0z?XwlJvrqd7gaV1wm{(;yzeTyYHB7|Lf( zUY_XPABPAlh7yl7qmccL_QX~gAl4DO3dVZU(t>zK!YtMjK8ujes3w9GpOPw2nz?!U zz~MyIWaxZxnVFX7q(Bg1)4<_PqzzOjJO;{lZ{H?9i6ftzA$Xu+T5iKtuy_6aXLWU> zZ@otu-aA*cCWUMInDx_?!(|zL_%$~XV&Du#yA$2Ryu1_0D)_K;x6x$9D=RCDgPpNP zj@wTs+D2HyS~84@5dMbMFNh$Hx3cU=5xsv-^+ukb(hc(3?h&b%&UM8;7nZIs^XKZq6ip;>k1MPt)l+>4d@*iN66&a|oe( zf!;mC!&yNDoIyYROy1>P!YW$Xus6a+pbj%Qc}Bn>04LxkNGRIsu2*U4I%I=XoQVg4 zeL4@ulQi)GZXyQ299@R&mmN{D;`K zz@g!C=>QMlw?SV5&zEdKHe%~T7XUfP`qmNBg$S?bqq~%{H&f0jC~)!cc#yso02I>A zLRv?5?AlcY#lsdaZikXy;lhOylkA2cKgw~9#3GxQ$0{Qu11g$y3E3BDv#F7ta~)D7 zyaq8zNo4U8k0!VpundY((h*<3Dg!qvCtcMKNKSJtcH-0wm%(ztBZNKdA0I!QtOwui z2zp#4WL)Tr$Pfkv97oe%Ky(1CM%>5!`B~&r#G==2PtIk9DdlakLf3pc++$z?VKb7Oz8+Uk#o3;*+cd2e&4JLwkK2xOVs)X=f$@Qi zVj;^lxiKKA$p5<-(j{Jn*j(Yj1K5jh7=%VeqQ9GmhfmXK$e3$E_`D5>hgj6(v$MY; zJMWd2*8ZMbbi4dWA|o?%=E6$ty};H0!$5a`C)Vrlbk}36kyD&)*v6D4i&(xrGSQtn zs{gBeftA<2uSqW*M(R^25YT5s1qwOVCPbHQJJTB^1Pho3N&RMJ_5N1$Pq^Gc^Apxx zHJS9i>7^4RxeseRG9Ik5Ngh~y;s-dN&ns@?^v4Pg} z!unL?Y4F=t zoe)vsR>68G!{nA1FEAc926gYs(%cnwbx`iq=%&Hu2Db8OEW@}7NJdzdr(J`S(L`v0 zxccT&6iJvU@?gZRZ)!rwX>n^minEDbvC)YeerN{%8cgCKg>7HoL|(^A0CovixhR4h z7UnvSO{O*y5lG;$nju8XQSbevHHA=&VXx{rW?fH0xge$}Mo0w1abiB%tH30vE%`>4 zCe?1;og=#uIMC0kudBNb7mhZ*CmF7fACt}oU_z9z_0g)@+62@S{SUbd&F#2fm_kHu zItu4i7&Xji{#*k?C!en>+^&9wFPMyI!1Xf#r@}jb>)}pG;aVe6a$+xrI^cKA@8#v@ z!nL+uGjbc2E&vZ*a^rami;P=B4Ai}-n8C?Ypc5o7Zz)th84NNU;I%j$0F@^C6uqri z5LC&O7gRsp%QKUcpX%xYQMjOmoJ0U^ZEav;-$*#3*bQ)54D&{pdF~; zu;n}B?vY4STwIJk3(NWOo6h5|#G-(Z*#Geng{{5)4osOwb%q)gWp=u=I3G94NW$zA zb0?CGk*+S$5)ejt%M0GCA3}joUie=s`^|jo%*@pE^lJoAI18Ty1rbbwDFIeCHkMe} zAS>W)Ew8BXgo}7~tfLMJGocpH&Jle6s6ol-`HpSY#~tT|9zA-5Tu%|fvy(BDySYx=gv_9H@3HHlc0)zKm;}@bg=bY1TaMbimSrOAQea- zxo8_(PbL~*)DoHiA3uD!4oI1BOX!pYUjnR;?FBDcI*=`});KN&(@hY0Gts<9B=&z* zq}aDPj6S|!HQP{gGdAds1r3G0uv(DIVGjl@*y5Bb1A;yKQHRS#&$!4!@Uv} z68eaG9ia`S7n)S5sHu^|OG>(Zw@zG2PEPj5)KYZf0BwWffJG0!9>@570%GA8sf*zg zIb=U`B0>oTE)^{;(;Owr0GOlT1jf zX1&4_kPt-HG>ePW4zroua4i$)lz`{m7pb^B(mPpTA>>(>+RsB~3If0)(4#;QcRZYj z00W{f@Gp`K7*Z9=k+Q_X(eK)eHG{1R?bh>o63o>1?;GMqk~ak}01!#+`9ITLTTF}g zz<-qq)``J+JWDEZ_+p z{LzJuE8@Q*#_KVsF$ZiBSiLJ@=_9@BSe{s?c-XtA$<0|8)iG-;f~H^tuyz<7d0 z1kDX>Thv#ZwA9o$(a{femb;k|oUbYJf$bD4rFe(c1;jwRpp_a|m%U=)TTO5h{Lt)SEgmWND#`76=_(Ku$cke*Pr!4oc^nR8NJzWhn@H>?J@ zHSz8Uqb&96Mj~O@lt#ppV5EUjqPP}PegN7KZU`H<+eiqrS`e;51|hh>Jx@w7)Q>s1 zm1u`~CF2r_AcILR5L}sPOb{aBrj2eIB7F7%K|y0UIl$1+x?F3Mv<85JjM6sru-I8lR!-wEv(4KGC z9m=uoWK4^WCv1~<}dsXhY`sac-8zZ z^{PMrvsg8V>*^Wouj8#MBg~jeAer1U*a= z4lWUx8j!Qpro+n*1QK+Yn+hygmw@y5eIj=uPLUgkNHC1SR9LJN$KhBA45TA{cNiiC z&I=ERbmpT+<*iOpB)XpjfEoeq31x@eWb5Dn?0QfdhQqn1a1j8}KtcDH@3BBOxSVvA zFqp6l5i==Dr%xz~)j?PRE|3oE1P?Oz2uVr2!_hKycsmshO&`pLeX#KxA!+=lzZ!L{ zAfNfCc?VERVZ!>9bduxiaKG?Kf&39wHg4SLrC$$`I_qu|Vcd}3n$fzBAA4wfXTh4Q zvS_HO0Cx{N!;^*EO_&Vk`IU7SD|8u6-{LS}5{ly=vG4!_D$*V3_$^zv?l6o%G6n1_ zFE78@wF@p@u)J^(0GE0UCv6;C#Yn)AAtYezr7kc@#KwI6y2Aa9MjR*p>jk)f7+fu^ z0xDOo0CW4We35%{zbD1+Qzl{q9x`G>Ez;=E48v_$F}+c%gHePmL_7@5ai$ha^yg)W zQ0mW-ARaYM?ZAIj?``kkRwsSOcryKUQT?d7zJLEt#0QLA!4p9D{)alrW7l_l8%i~4>&6HH;ZZxVZE9)S z`;Q)FjH^HPCcskavEm7{&UG@2^?}5@2$N2%WrgnM0GwN}8|gOmgpB|q5}^R0e{Z0m z*g`|IUrdb2wfLc?0FdpS)`AR7Yy*RWY(#9nr1}S@z#S(82Qhx{okr^4wf_v~tc9)4 z7xfIGb%7y{96dVG?JJ0?!Zj^T5c{lq3Kkgb-%fZ-@U$0(GwV>|PV|ZtB4sM1C}SdHB_WxTp)xg4p(s; zsf0`+8Bz*~Bu%1H_qCnp`QQ6r>z=jF`rrF->i7E&`?L3Z+NnjQHM_Fo*Tzm8H{z-1 zqk{*2P3`KPU0N~T<xgCulQ%M5qz%X+` zN+{7pKrpmZvTlO`IlwbyXNLeK((7^?v;e%RIxatG_E%9o7~=i*4+r@{zS)0~?LY{G zbVm{vm>>ME6XW0lY{Z<)!YU%to-!RUD*S%^#A^({h>?ZhAw!0QEmFPqdKrp++N#?i{wP{_dZm@+&q48t74-j;$q$FU?lNZvS>D)7 z_alwgC~EU&n69pFsI?_L4;tTjgM-P%*J2ju4X6DFE$xS{zd_CCIlrG<7!Utgu_<)X zrFmxKR{n4zRE7TM@^DVE>K}f_-3$z@A377%lcX{|DzCDNDJ1RPJ0aSh7*+K#{(awK z!_s;sg^}5dc-g^__U+%FggbQflU||UD9H$0Y%Z)F~^8QESqUKZ8k%1XkdGs|##}$^&YWGS5tGf88e9Ij0`uhX6T1E+{&X zr{oIQeBeNU!(=t5^B{s-751Rp0IFh)B$&y6MGbuuRZ;Ki$@>dfi3Ef6JMi6q{&EmS+=mdUDB zrMfmGb@5K^3C1pW>jiA)o+cFG} z?GQ{R`O(!&<8)?TX)xm92(=C!9zgE)yP=O4l_QfWf!&)373Dke+v0O)N*TAiCP!PK-q9ok3i`Ge@Kfu(bh@U7VLa1qEtKiDxv#Rci-W^g$P z#1e=SPU^&1M=mn(A2feG<{bKY4EP`Q;oMNfD1I)h!Wi(2LMP$$Lhj9{t<%$NPkNR@ry=ZZ(P8Uc6e_DkE&Gq3eLu zHYli2>Y;W|;v!p60tp;=U;4!Ovw&_4HiqRdra__P6ciMcLzSVBtnbprZu%LGf5Xe-(Hg(P-ir}3_v#ZP4tUfp54qvV^n|3gF_i$ye9^0T zz@bi*I0qJnGisSqhYs8LS-QGP9O$+>A(P+wE-RNOBQpL^Umgf}F8E|Qej&e@C$}pM z+CaO+ecwdv5TK8MCL(LxwI?|Ftip8?g>qGL6T`F{EiB4xoY}JHU*#ez7edn=IY}k; zq@ZBfiINOneJ7`gvkRIw3rlmrcleZkJ29t@7$BK#r4Q)nnwfygb>q_Qhm|Zsq16$d zyAZonJbTmSg)lAl(VKD>4h+AtL8(jDipcGHCw!ZeKY$OH!q<0&YM-{vNX-Le7r1cF zy23%l#AKR)97Voc`__Nqph1INfNpMp%TAow+|$z&mYNkTW7cRDe*LPfbqBXW(qM%D zJo5+tOwC_>-DYPYF|=C6{Fm{OZ;MdlkrVNfW?#tq%1fhu9e+l&jAl-jzLbRV$q7H`rp6- zf)RRod_#^{9LoSe5a-sqwdjuBa)(AOL)SQ+6*CvyJa4-41(8iC_#}La!%Ey|Zrl+r zAmiu=#Kh8_ov{eHOYfJ3*LVt~&}1+l+IngeiTot|Cc&2SV5%FsO+ee-c?&FuPS+3#3=}Z-MQvRBSuIu*zrP>Pps^daGUI7O&RZQN6vEc|~U?JRf1X=sYy zHv6M*y&}K7a$aFX9j~UiWu9mEpj5x)_xnjt|K7zdm1m54 z03LQ?q`ATRn40$!BtPGgEWO;CSwC#rnP{1JTXl;w<;oRh<_S)0uokcnO7h@boBXeF z)@KXKmx76K!M0WXNhnCMqh4M7+U?@Su`2H07abU;Z1PI-k)Z`J!iC+qVVPMpe%$S( zvV^psOY3pQ$z&+0%=D?8vgqJ6zv|cFTT#H|6`@>?LZO!N?A6{zmU`#%mJjSO^RivTS+DL4uozxyoH49XcAY~DP)}2XTA@?5xj@kxAjE3Y zubG>29{n|g%P7NWNzG+wcX4%X*G}>q=vH4bAaJ-zXv&Tp+I{{E|Ls*0eK^B)&)-VO z=+m%eaB=HtoOt&apaY#kX6&d@NlIZ`e{`B>8almqvZ{sT|1vsv%B$N>MP4t7iTfH??PL zQ$;18R`q&q@%4>b_FidH!>d=XBC(Ow=aFG)l5mT!9VBT-Y?l{>9xabE9V3(3;@NIq z-Ik3TD_IOzQ&amLUt3Z8EG=y#uDOVobE2F_?pUnVGn=k4G4tu>VV^QG<~bXqewA)wJ!n6kJ{0^(3}RwhAr<;czJXA+^QW3T9=aQg{)RTR z+i7rTm4eT_B$YE#Oq3KItuESzB3OcZ7Pc*|`EX<7&Qve5xsyDix?Wu|YRrz!b^|dV zXJHalTC`vDN~Zl7*E;U)`yv<5VLhHz_zc+5;yc;GI>+Lg zD=IQ2Xx@lt70W~I?;m!iq`lE73z_`=eMOU6m0vw}EHQbldEb}--brWF7EKl2eM1d9E=xXy1KbRBm9*ye^!?aGD6ZlzIQYb(x|QL{Zwx#ig)8g!s>? zfLkGhsa4{!9b4ez{es5s ztD1j*l+~L5X>vN6Ljf@L9>G`&5QCtBnjlg1oE%6_407wnCK-29NpCdvZA6nT*DuV9 z1X@jO>Gh*N^&2=OhhDzZn)r{5A&A?>heVy`pb^&*lY0QhPOB96yhDq(#6IV#r^iEo zZpul8!pAnsc_S42(ZwO2mgei-hMSD*mGB;PhZrL9++j<>S=;@4;BZ63yP4WNtAH7T zh8-HbyaShrQD+*@V0c8_1Mlh-Z~x1kXD<(S-ZvS`6ZTSifH7fH2Hp&xeomvRc+bZ$ zC6mvVdZ)Ezl&r|~YT5UC-(^`>59P+$Ti-ruK0;TS(twT%h~!s(*e>v5eANInE%i36 zC$j^=HA9`X;`+Yb)O!*S$b(G=hJRCkP;p)#%mtj@<9RC~i`v%zPQAt1$5zZ_`fi@} za)Lm`zBM7>4IrDBaEcjJ&3No(4%V_$`YSfr-Rp0FR-Zu>qCgcA`t z3Xdk_lBuHO#T|p@J?0_9Bg-odgshyip8Mz|wilkitwcNi=cy&+^})+Dv2KZ5b${^`c_KW@m$U zAw^=a-1IlYc*;Z7Odd-nVa~GkI)pVr^Podvux{P5PJ_h#+MdQ)GrG>Rh39z&y^TiS z`kF&4SYb|;so<-*fo)Dr?d9Q&t23adg>P{?B;tf=ZltmA+0!4Gh7UR3%&bF(+YnV2 zDIo=o*wD1L@4Z`2La#^w@Z|{b6L~Qh;IbWtck6c7zW<{`MfHH6Py$T(&ap_F-m_;< zsU_maXsJD@LvtEOnB1*Rkg#sg-)y|c^Em0>By}*=LQ#%-5=2Uu+>YM8yE6F53r=j> zw3mifsuOzLJhw0EW~YfCql0H&+_2j-hVrLa#57{YV9bf1xLR|oll~^fH3sS@6dR5@ zy3}yR0i&L(FNoiT7Rf{Zp{c988;|L(-@|g=EOv0{3C=+cB+4dfc)8oq$K(Ex25L$- zEC5uWn_#VD1Jv6Oat{G{N@grIg!>Vsr%VM9V3>%vr z8Wp3uJp1E>5xO1j1fIqYv#Wli7GmP@m_R#)w$Bt8hfYc4U9eu;UD|_B(C1{X$(Vg& z;dI_vRn>7=`b4b8b4cO!x138NJbb!jEb^?KM&xkarti(J3Jn{2o{IS+8SB%hi#$ZH zd!a*PtMPC(6NqRfA`n$lTOO0%c3`xLwmO@ipJ3iDe(cTz&xgsyTMvR*G9By`N9Vr8oH)8Ra)J^dBp1b{dg+=`De+v*$u+Wux7x=KDwkG z5Vj{MXe?$f;S4aAb-WVQwryJyZ;0!OIylm)EBYGYCZPae<9LepfOSx=eogf8@rf?D z7{iY0no+!QaH+ZRv!howgk{ikC>$kK2bUg{$Q9^1_;BDHa7|}uMCzu%dr==I=$<`l z*eOOvFs>S2o2-EVz&) z3c)eTIrOiD_HM_eoU%}L3{4t$8=>@0W|*O^F78mwlK}gXlA20D`+e*n0%_uHD*GOs zZ>yv<$p-({5=TejA1MjMwofniU3@ZI)H=wZg#0uK?ZS(S`;ju!S3y}JY4mC6cGy)O zXN>p+2W=X(^rd2HW~;2CbGJG)Ba#EV6Pe0oWz2aft(j8uT?YMf4Aai^-=UPNGMMyW zOUt{+x{|!U5*696pT)AbRB657M*>4RTz@kqVhc@`-qU5&<4I?x9r0OowLPuNqJU;p zLWG&0Nj`4frfbeDQcXn@9#okXSa6t=kk=U6^$~o(d}s6vC<5rS&MFLoxyR}TWeDfB zRj)zACu5NjaJ|LWsIPBTw)+CT2pt!w?YbnFK^loUV0JR_3+Md*~_&a#R@u_mY!tYYI^H0X71nZn6wt2vdMQ z5=c18XU0$Vb5;%>hH7C2}#> zM=?|G?%R4XzZ-~-PQ=*1%9_nf*ZrcIVv1s>(OB{Lv;=bIL#e2#rNWFrk+JGZ8TAA$`eHA%RfzPFYKbou z>|y=AQDvp2^NCHD4ieC)MQTsU2H}tl@v7`4G3lh+;|Udo774x16ZJ|sFotZG8Vfpg z3jq?H$@Yp{4^u%R%E(3p zvv&Z%WHw>dEXo(U2pXNo#N*=RhoX$P`||7*h(ReK4*r*&uGw5Tm@s|vPkIT=h(fZ7 z;1~FF&!I!(>1QaS%57XVCRTEjp>|i3vtg&(roU4QNp7U{m7`6Zz2hTyd0D~mrpImelU@&TB$8=+*jLqk;_+<03kQF~jva*>Em5OzM%z5|aI z@`HQ#?j7e9ql{4?keIdi>#pft5tliYkXBlY%1!m=kG7* z&l@I9FQc5o#rA!8axlFwO}f##ZQBwyP|?c!5}!uS3i8)cJ9hq@k$2~P+_Qpmfu3-e z`(`7h;ejcAHld%MXJy%x&rTe863s5s>!P?S9cVA;{1q{UgAq{~eET|-(5G|<+C6}?B$czmL@pQtqf4o0luWuAFtEqQc`Nh7@A=ON zx7^xa*4Ci%mCXHMeyeqL3tTq@ zZ2O;m=Wk@-7RO@LUfq8*cJj?&THd&1i>pvBvHZR*|nq3qR8`rB-(qH_)Gq>e|&cQuU%{(zl;2i`Noh&YQIMGHQg( z(%r`XhW%!z4mLv^ZLut9cgw~#Q=0#C=FHezWF_yi{?tHcod)qHYj2IIc%#D*OnKEb?0ua67+2S4iBdYe(;#C6^& z{8wvZ&|eAF`uVd~kG<+Eq+(t^5uh|wQ+1hdv3A+Cq6Pa+X8C%xJ?46CRj9tnu+5G! zccN4u6lQ)X_Ft}YSJp&`=(gXD(a+obR||k@N}Lw^dQ7xuzezPWyj|>bj5@z|n6zlk zHbuK*&E~&Qb04IP{vU+?^10r&lg=)Se2aqg#QF}7<}F^vh3{##B68NlGvca&5*2%< zIBOuSmG*V2@S1bTeDH!QkI1VCy*Y`DLhGA!8aeMgq2|Ry$)^uc$x%uSj_Z8Bua9Ss z9TVmmHLFm5(@s^@!0y5iH$&9Hmn$z5gmLCMTCybfFXY~w8*eg~9D~a{O?PUC79qv@ zb?DOfim~D89)aPfZ{7qxn$L-Yi}L8N%&Z5->^f$Rk!aJgZG6JX);3o41(^gHlkN;t zSl3y3eyT&>jxwWLc$@tDH`gg@-{5!i+THJTf+i>~t#C86zo{_q$&goZvoV(*AS9Id z%=|3BE~_>VpIJ1xWn^BHl^!RrpQv4Y=Vq7u{)zWTIjvUCxw+?MSlS%@0~LSb+YTOn zWKPwS0Fqzv+dg&tKzCRFW%cEkjT!~}+)-^)2C;+xQl-Mrr*H`?9T2#YuCGZTZOOv{ zPyGL}^&S4odFZ5~KOxwh!y8saE^Is`sL}QwUt$+Ct$MER&OB!Mp>^4zJwG3qM4f%T ztZl7MP-=4a)ZT%fdDrxx^vG|&DWFUKY4%J!Y}TiGMXgt-CC0zk=$*4$<&*IK`q=cH zzFm%t{kq_~O<`ccrQ?@c1~#5)ty`&OT(r~fP`SkX5T@sb;2jLA=tJmW+hM-SFHAM8 z*Q|?7br{%Umiy|ni&gqmChFN{m({Eww;b{53AMA4TXX!>LQwN3)hNAr6+d@N!RLfH z<7ZWV8$Gk*FZh(7ihS~ZqFT8}to8V0wHJ=%bu30+w;6tZ>O1dA<&)}tdt|NlPj+nk zRn7}}ki!aB5nl}k!|pq5S6n2RrA4@jP&Snvs@i1rYI2F)C3l@2X_p(XQSD>#)~;y5 z*|<*UJ*_k~8|->o^Jn)bi3Y;0|f`uU=W0d)+xe;gjM?8_Sve!v>Fb=|V{pVb53Y65ecktb zgio7pY7@5fFKaySw`oqHO`(T{o`YQm+3qwlc*Xk> zP!^YBx2^-A{KeTZ zv-)|$&j8Irt$3YoU&@-_LE(Wxz_w`7`o3UAW`Q0Tm6%+}P%>a&%W>}tjw>KH20pjI zTXS+d<6k@+9Q>QUYRkiYfecf`>*D=bgkm-K{u*im2Qx>0tM={PAHC^$M5oE@ZU-EiarlJQfm>4TPexFO##VKMo>}UYaxl#`HoYH!;|=Tb!>2ng&)dZHgiemtvN@H^xb7`^up3)D-ceU z`__Y7F;Fr@VXmw`So6KSD?B-^_}rpJ<7~C)mr;9FvmPlXYa$ft-+xp=JjNq{0(H%Z z>7_hZW$O7|+=l=CPg2j_ijM!ir2qH-hK*4C{q5VpDU+S^JZ^kCm;Y^Gj@KxtUpzCdSFbtbJhbDps@+lF#|;gr80XpD zCAO7SGrvUAWZ#xAOP!J9{OYpxuQA3xN%_08{%#xI33i%TxEB56MiY%8$#b1fR=CX9 z;0JC`ElSjkZMn4|;N%ClT`#PZGt| zTuQ(H`_2FR{|fv6W(w@6_y5flXnHsE_*$BQZQHk}R4$gphX4J~ipF;z9?x4D4d3(8 zYN=PUT0!>i-pg(4qnCqne6=hn++=oN9!wlWbk~D}mn+)1EhG>Qh86~nv#AbEDj)?4 zsgw`VE0z;vk=3{~4CKi??~nDWu*jm|ExZj^uTHZyWuqv~9nl~oBiem*qfQZtId}22 zzaQ#ieMF=1P&g|F#ah&s=Q~EI6;zpx%WQ0`?dfsyPqzLc?;DHN2Kd;(EKfMlC+BHL zKQ|wJHzc$vU(a-}38LpJMQw8x$q|5kL}dk^P~aluF6u_>-Q;7_5?sRmDr-^Fo;|B4 zYtUxmYZq516LiLfM@D9O zEPYyl&5|mc7clJmdr*_=!?Uy{)}eS!?&_h!w$Q+8h_X21ORPu4*!eE+th235a>u;4 z$$y^ptv2qT>H$WN@vXu__s! zmD?!ER=n*f01oiQ^?#28Sa#M{=MX3xc$GmEFUEr+F- z1+cI=A}X8_SyyLW6vI5}*gZX>(05D9WK~i86YNIJQ7BnjP`@0w}Y(n8$ zbn0Um|G5ov$#Vw;jN%CePR>EqkFtghQ!S2NlZ@vXH+R}J zO|M$!Wpp;Xd0}~!LPSI;;s}To1o&YdPWsAh=p?mMq$&_;P~q$1eh$W&(;w$2a1*-S zsy9W(%75Ov_Fa%v+ue8HZ_pA5a6Xc-avVI{u6)5xmM6QKkXSMlF%$nH!ZMZfCFR!e zh7B7E5C-B!IYvz(TbNiyAj$ET;T_A>JAWJE@%-7dJIr3N@;R&>O|~`)@J$*dOT#ef zxS&@=iHU3tEJ0KOmX-&iEOx67rwc%oF2R#{mk=9wgTjE?a!&0Vi3nCAi)^zzv)Ade&U&HEBimZc!gB@|DB3L9mebJ)48HEk;)wva3 z&rnEjl(I=X?abH{JOPeqXF$6zp__)BLj}(hA-9@`iuDM$v$MU;-xM~V|9hE!Q>*2Z z-!eTosk`~iejW3E@a;Obb>iC^vopb$Jph%Ka~e{F#C!rwpvoFpG_u49qlsdB214wE z2M?;jLK#+V-a(*6^Zf9_oFu> zOP+O0TR2nKxgNhr67v-m66^TbfFCi)oQjT~Sv`qtT);i@4z4(+_Xca*lIBoW8T)P= zeE^*z1(0Vc)G|C`!Z38@a6LSSbAqZ``lWnm)x3{M6|GTx+x9ulGi*A|S<3WB_8-`1 zouEL|k)jf8S_iecot^C2i0`qS2UVP$Fc~0SB(2Ln1wIr!~=lq?- zM}ZMzk=m6$pRlXG)YhkH(14>Hud^t83=bxu`gc}D4sgo4Rq!s!iO9LWD52ziRp$NY zc2Y}3?Z%as$0orpzqI4ufmxqAPz**xkwgvyUXy~-NYP2(-KcNfZ*EJACY?)Gzd=75#V>*| zdxeNaL+0x)5EK*H){ zvvh))8JXyIVtBBpla#PDXby0EelCJ8?3>~>p;@KYpmyVOi}z^YN~CFU(@>Slx}AZfI*Z4Iwh>GlS3n{3^a zU3Hja<-Y{d;$3bL<;`1zh*b6*0|_6bkcLg}DLu3Nv=Gmq?YV>qm;Bzpf3FdeNIAIr zT6j8&F@N27^JZVx1?<08gKzUA!;;}cMgC;({kp(hZx0-+~mXU9LOUcPKu z(t`eo-guxjH8konKtC>pWFdESr)7A#*_`I+B~GEK<_B=3k=DXu-q8c+5QHJ=1T+~a z>lYJ`%#nv3XUWo~n#f2A|KM~aR1t>%vZs}{W~Ju6uV~yv2lscAgPpD_6ni%$$%o8e ze!iJiC~1j3dMMC=va+YA)}RhM4YJ^Zpx6u-_UYG;UwZ0ElsR?s)KvHMirBZrNuZBu z$UZsr{ZwD<5^X+DK`RG)K?}uy+B>i*s|X&?n0Eo`jR|sooT}`$gtY2LY!f&L>I7cR zZosq5(Jlc&fq^uPo7lsPaH>-$0jPTio_kU$M-5LF;1_mACGk#O;|2unc}PGsi!Cz5ogju z-C#pv4zrNeNEX3S!tc-jXNRJL$`n{RsHJE z#w%}75O96c5QC|}w_?`-F+xGsJSMMvt~U3Et`;De0i&x|d_`qi)reS_DBdzfZIyQ` zDlQG(>O8xs9GPOX>o)He4_E&2^QLxmkzK)Y$sQz~3*BQYGy-;Z@6T#lbz8CCxAAfK zNLmI)U4G4MHhP_G=@BzmLq;lY~E37Kt z5gQJ%y?A_?y}4WnV7=d|M{fxn1>oMST|ejA=M|;T`pvQbv|JkzH#eDj_ACAH+}S_P z*EMyn+gB;7$L{pk;ZBX`A7kxB~gcMfn8nfA?i<0I{E+!(Z*EwTavDTs98!1 zj|0q!blHTy)V5@sser4{d-x=(307#^&WB|am*Lm>=I+(SDU^3P3h9jwa<2eO50TC; z%n6z%Bz}k9V8QlvT_UhiodO87P%mkGA!ZL~O+3`BZQ)1u9%saSTHiU$T0Twd~wkk5tt&7ojGDT`AP zZP4C`7Z4S`s0p|-Jy`BnJqbdK(p{(iUR`pH|3fw~+_c|qn_0M4EXO$Qg#Q7*X1Ou#y`@M)aPQf!?bLXH_Q)MZZL%yWW(r>ll>7Usi?1&GS6 zT4_8km4s#5MWC?ptp4yXag1`&akE>I9VgPj1Coe=HdWNz{7^T-dJviCQm1ldy@YU# z3`dfTB2O>lW(d!TJp$pIt9wz@mu06vXq=$BWN@}j%Ml_|eI$M&n zeG+uv4-NH{Tgto6u~{mqRp1=FiV_>fr~ms9;?gMV8oT<7vQyme9&8>Kl2`M0u`Es!w{Z&*&2IET3mF$uM1I4&@S#I~Zprdwk~?VN z(lx}phENaujjR8z5AJI-u*VXmZrv^(s5y25wHUut(m#0RFHQ@O`^`H+ZwCt6ptiVk z?MS3daxk#*$~ng*4hJv|$*a$o)O5~tgM-sz>e;kuQ+SKg5;sGb4Z2h=5e=reK|)Ra zXc2{6J(2sG*PQ5tEwf8KB4(jX=q%Taa|AA1)>olxOoCXI?YsB)c9$I&o+ee>w{QQO zCKtGs5|sG0+a04tC(Fm(ep_(2GEPba!54O58xrqJCJhSKf7e~>HP6`><<)r1evA|> ztJeG;b8$Z>97m<@Nu&HiuM3!+QOGzGe89)0o@0(U%-`L9qv1y0PVuBs{DD?lt+|3G zMTUE7fY>1fdYzg=xXHYbKiN_}IW^h!`WYJ?;%N2Aw-XsT6N2DbI$ zcO%@|z_&p6c<=G!yp4@I*@07V#iv-2C*6?42W<$yLGt0jd^%dz#vV{yI(ZmQuL@&N z!ubEsKRqG4T|4c#y09U650*78r+oub=G!~XTFI5hxc zUS+mHuGZExUBWeR_rGF|4g(ic;>wKKuH>vvm&6#9Y6Q|lkf)V`QU;*mXou5;eYX-J zb@pIAL5BJ8b^c|cH>7UaNsWEF!}OnrYjd`G67gm9w3eLe{htIr)a=li6{5Ut=l~>rm5`!T;)GC&vLulsmhI`n8!#OFO$>3tr(%u9?=)cvoUvlaYJu<8IfZoSKYXAgY7lgl5cf2Dq@uh zz8w+UnBI88*vtzqxl~hWha|v~(kut1Y$TW6H*;$~%^hcEb^>dyB;WG>d9i?5l2aj= z0ZuYst$SW!G7Hle05#h+{Mc76ch2bdM-`VAPMJ}AXv!$liJWiSfHQ~`{0!1ne}{D) zxZ+$3?@AREgn2BUmf%bJO6I@HBQIosIiFWN6{PhivKj;yelYRYfsv6VvWk9g zxPX|*L9m94$f!-MawEJ#2bdb^9pVPJtV>1WAwXtJ!Xa|l2Qm&&QUU-6P*KgDFsxg* zF%w8NrhFhdDtNcw30d%FMmD|?_bc}%3HuWmXy8-p8y>#c&?F#}K%J|EW00YCh@%Bz zoTL#+&`s4*K&dMj{^nIA|7h9IHs;-9L{fstie;Y{D?5rxAO#&&+Aa#T!XT%GxfFfF z^OLS1S-V|Te(!H-?|17*J#bHfjKxpDr_l@gOawk*Kyf{aY;%Km>Q^4uuNvg-?JWuh z*^vh!A)Nz(2W)Tj<4h@wUd+LT^jns#LXmwaD%u73!8|I^3p-CRuiuuT?1f9^2f%nP zB0`$=e8@b(e7kiU^}>D2gUjCl(LqOmv;KnFG}7JJZ$U_0-AQ$`z6CN{8?f}Y;N^X{ zsW1Mk1-S4*_IjgW{HyUCK=>un%4GZYmfmWLG_T?t=AKaGKT@GiV?{^hvWp1whEeK; z^@0}8r4&l!NH%+YUeR&LK}R>Ke{AG($xRr3<-lF<<-WyFe=bmS`sU_SKeF@fy!k54 zl8LTtMp-qwohgmp3JzLv6J0UG>g-FLppaZDMR>y&R9lLF>W(PjyyG07Kwpv zN!nuSfxeo|l?<8a$K-M2w!%d6VzA4CK>(+20_-R&k!Agja+@?%R07^0P#o>k;WltJ z=VEA-l49Nr`^(mxAe0Ln9*u0n(j6s33v8k7P6PJUighjb_>Nt>QXH?Zl!Q9^X%H`k zL1&2!-gorq&cFNV;6+W5L5NvlMI-@IKZ=V-vOl|3tGc$GUTsSKEiWsp-gb=%6Gy9% zH*h<`2r$r4A(54fy}v&TsKK=8s|DoXJuzA?5u}xs0Yl@+emX?QFR>DMD5b~>5{&9j za<2Ju^=g0EeG75OBDNqcP4E3EiBDkJd~1{jf7K<;c)*cDnWKCJ9%rKbur`Kwrd41X zg_+W=-C?Tl^C6){qSYe#VmPBI^AS;pyBXvz+lK(#5h9gk<8ImWb(FIaS zMApgQt%Ed4oHZxM?^Bp~!04GZtJ1)M{h#RU7_RYsZoV2GL^zdCE3w**aCW}*%M(!3 z)wSYm-W}mzkmKU=Vgvx61$OmG=o0Wdhh1dAjBJum!L|>l(3UBb`^|Et5mTn5ZE&r) z;N-cTmd8Z{QJw@TaqcYMF!IqX_d!QSPLz$qGXb&*CrCq$&j%wZJQP5a&kcHo);$6H zN!cB`+6Bqm+93V|J8ZQ7di>P(8mcXEhRBDav$ucsk8?Jz8fg=z{`0N$R%EtUj6tW$ z$d)>48^p~j#gljqAT!wsO(?}*Q|?q&_^o8dI_B}Uml&*CwoKv6gNvD+D!Ey-`G%an zZ;OLw2cNzMK2)=M@r zCtU8$etI5o{ZR_-6&2EUN07~=s>nk+cbd~{24caEWavaXmLFaH)U+kveE`pspkexpCwH6rO%*L)x9faX`9#KMd^?C=1zKG7m- z^_QtxtRW(GsZnMI0d=g%0qK`0FCrUO5AD^jA9heZ_7uZj_z#`r?Yo}+!0+tM0zl!G z4Su_OogNj6XUPp!^bj~fctJZP;k0wI085treyuOTSNKnuL)YGmT?vr)cW(__vfEZv z-l==R>=d2Gj<2tMZ+(RNN>p^jq*c$v<@p+?d zw#*;rV*?8{USqtv@hbI2b%sn{*6Z{^`=_P5>NTk9_t|{b(O4VBCb3f>JxEzC?%p!6 z=L<|4X6(g#E(J~}NTppn$s++(zWrsjtaUIq7aZ8suZ7;i2d%|-@MzKe>R-h)0yKks z9d4;ObYYAd+TPe5GGx`F4Z{}k6X0AEZ}v|8RATgCFC)U^1RQT zKi|5Yw?^H0ByH>;C>nxX9Diw7!2t5u(RWw#(k8?5eNLq@WzU!?aB5U+S=Qh3I9ExcK&Un z#rp$&h2N`0@N{@4@LXOLW;WpPXnGt7_)jD{e0Uma3UIoY&q7AT%INjeSB>PO9XTS` zg|)rrj>a7rKtbRc{kD$j4}}Q>NHy=Yd$QF9%YFQJl;eXD+RXJVf^m47oIw3l2ZY8# zzkdC#gP&QUG^0;fYUmhveR1m^jJA7GQBlD%d(-`AvUWu7SukqGjKEim*oxGarPLDZj((iC^(x6wZ zfBE|LklT}+iQ6zws~(esY~`C;TyLuyTV&1QmPEC|M8NYlz`X>O&3UIj!U&ju?;C8` z2~IEOiP3Kxq4P80To!sXZ(`jek&C9nUHcZ~2g-QU1{jz~%@=C-vy~ILPY4$Hj1dXhG?_4!# zqd4oDHKUHTtJnl`MY!br+n#}D-6_4K|Lv5v1BeD@4l|128ca#7x)^Xi;Q3rDr500* z$eG5h4*?~o41F5z>HwPyo%-8%LHQ+NvrvGbnL^Pq1h7DmXDk6JyuZKy566h}(|fZw zLCg~zyJBMl{^R}Nv6o+RqUL4o>wjagIM`J>ckY*0IY?EJKP7VUyHSxzkA0kn7Lasc z8I*a+IBn5AW3NVYM?yvtX}S*#>Z`uZX!UEg<(-0RRiYA_DwX{;k+z8gVB_^re^K9D z*Q@c}ULbP415a!;vxA-#ua?Z}96&;|EMVh&)6dQZNu!Pch!hNn+H7C99_c3+EQi^f zECymIej(cx;nR$^NB-4;SltSGTX;9e`8|r((bpprR`5f8EEOpQ&;=#5U1@0JkQhPH zy?J9(yueu(=oV3`dG)Jdttau40ioGk`2PHfiM?s)ADDiJ1oy)LY;Aooqsbl5_3H(I1EPNzeuEu;g3ZYr-QfkE5zdW|44Q)L%QFQ` zKr_g-0pAg@xcSTQ$A0G~b${4s3eO>wcqD%1-44mD4XjPomT7KXlaPu zGK?}nKUDFji#r~~0>RDkBysC{<++Te2`bYYw`p_tsP147wRh`MjRVaFeXE-~&TM{d zv6dkoYNb!kMJ}f%_e`oDuxaWWZ$(O-Y%2xGf{2q{g|hY(+2Opm{go5%8|NI0ZME%H z*1in9iAIpP59kl&PMvf-wo$=nmo9ig zyaqRvM+_kfon4AF;P_J3R*U1Vz`nNJ)Q(>2!lc~7|8!Bz z>1ND;2Qf9m z?TQN7;%(5}-SC*v6^o~h@DTj^-r&%xSXIlfG)zA#YOAY0tzTk$d+poWEpAyG8a7s7 zhqW?o4<7}3LlaP5F{st8mz<0?7c5t&oIiV3$#fg`55QL?ZOb}xH1oGv2SE+R+qwI| zfiYvoY&kgVzQe#PSk>fhB5R>{k2l}rl|0rRW*40F|1e%NW)P(9-TBki+M>quMq-^s zhx&JEB1x4xS11bSbzZ1xw5kHSbT3c0Iz;LnDq0Eo0fy-K%P;bXZd5~yb_uhV&~|XJ za|R~wGfj9q?(AxMVFsPnDo-M>U#H^ezK_Nn4L$Z{U%&a?0EyAu@lj=ed(P%|NuLKF zGPlovJ=r8>7Q-nAkLc9t_~&1?9@TF*p%L6ua?S2A1by4Cee|2jStm2Irrb=nBj2(( zrGKOX(vWAW?Vk^hW^=(I z#`brF&I4*>JVJMYFogqADRHx3$)tj!Q+fvtzYMS8{H)p$4$P^Ml0La{;7nt7Ysjo5 ziJEBa*3K>&Nz=X6^HZ@KjCbOf)d9Wdp7Kq)PQ-)6EsEC+bGhu8vsk_Z2NI$1neJV1Gm137O2%YH;$cid z1*`mf6+b#%ais8%lT&t)^%ozLiy!zEVoHqgD%WNT`^6%;U&;Nv^gdQVcd!YOFj5~7 zugSKyJ23ODy1DD*2gOCJmG{;*Q}{wpEN(d=2K3O&j|>_8GzEZwjH!X@z0v! zX{BF~%?j8}1loWVnFc(80zwKPPtjtca)I=MOz794pKr-EI>1{c545GAtEp-C7}3t& zoxSW5whH$I`XSf1ckd3njqlGwlYs{1vmYo`IJFsSb#y=Yt(;iVA=|^ib$kr_4JB=tSnXWR*r*ca4mR31)DTMo4eUJWL=w z4=k;y4P`GK8khj}A^jbq7EAKM{ugSRtwvBV8M5(^UY(byAQ-;wM1{Ek<-7kIp~u{?)w;{qj1}Da88mJJg~`_?RLTs>cAl!n}V#q$C-}u;JTvDrlI< z%`c%GILDFA5~_jo-L&>*)&q3i~m(0NjuK#hL{bE8|^6L|IV*T6^;v%&{s{r z#Nv0pCM$hDlRbjG?67QyvaY|!R!0j{D}#zeuTHVH_Q#GiyenA+vK0^Dk9mto53Zl9 z!MdO4t(EeSHX0m#tXHruVV@3KA|41TAGu|x!5O6@)S?+K0{n`M}@>y(wa(m zhU+HZr1CrlCL!i%tV*z1?qTxD{ITt}{${ES+biz_jf--XnG#EX-j#rr&nKEb=$>FY z^T&v``%|^=N0SV37dP`?sWN&|H&L7zr~{;KN$IrCeEOsm`$wf)_AEG|FEuL7;pGM9 zq}#FsQ!1%!EMkyz|5Kjfj*!ERc)fcM9_-z*q;^!}F`(w;HEb^xHc$iyy#D1L6frR;-*T9 zqgflsOU=l5TH7 z+}_s}nB7)KNTypXbjod@-1-G7AF2dZ9^%JO6h8PnO|JPP?sI07M6nU&23fppDI%%7 zV2#5RxJd-h%;WnG9C*1nw|Af!0JY>ylUEt`cvm_Sb{2I!({kN!8U8nmjt=JbryuuV zGv{A@EjjbhGD#nbk-pG&XRa@OWdE!t4e9A!23!ell*WYjyDi86&M~HdaO|Nn4{16r zkxfMsp$F%`rr>3{%hjWzZh2mx9)YG6f=H5O05ACeBzOCa1Yc5s5E>hq{5dddMb178 zBXJB!h+%E@liH7sjDOYTBWnf>qE8Y;>-y3lRgZ*K zPRI3RMSK=i3*Cb@>glK>rY?XK(vA~S1;9n4tjm$|k%U-S_QtppU{28;BfCtzK6*rt zeX>A`zD&}VD4k;Qz{)p$`itE~eg5^!UuM`4^#$h>*VuD^A{V8T`^Q$IeuvvnF5(an zPd(wvL-M0KDCT^;%n*M5R&U?EBuM;#)mv-cTWgmuUO1vzD5z3vm?PNogW^!n^?T-0 zFYhKzoPO~pBpaTjK=fxJHf#&P{jgzGTXyFE>Y>!GT?+ZIPtfJKF$5&PP=Y+l^eDIh zirK>2n9fKNvV|X}FOY?Qcz8CFalVg-K`chQ&NT<1J32|rqh3L|2d~Z(oN$*=LE?L_ zyv?~YCbQk#=2;Jt_TX@hyft&zn5!#xe)7y&+55z|Z_CoQj{Koec2{$5Zt!T14I%1e zT_)O+;751a3%D^gea_}h4vBh}mB)`aVi8>kH}RNPhK$yW^iU2MHWU zjlr~VIX4Ankv=_tKr147gnMCd-FPTZV;& zwbx&v4k&--)-Bs>do6hAL)iOQKWp>u5!qOSkN_k20UPPCD2KO~dpg-uwf z_w+`1$*-=g>Ol9(c`{iAdO>4=`E7vuh7-BU0{L6nb3WyX>aTw?^ z=p|}=Z~(L)X#*bOsl9e>APg046AT4=9RA%Av3>k7GA~DKehz%NIm`3Z)PJJbfZ`Yn(|MWOvz;^fqB_C4@aZOijr@JvuK(+&=Pb+CcLy-)-qCb$42Idu` zKdl*j5SE&7kx)F~Md4GAH#cv+-COac(Fn!Zx;+6m*nX>6Y(8OvN>w^vjW=BA)ugKc zS3ns?{g<7L>+D!V2ujqG#ashge}-F!N8P8{{8tO$=%^fSypWWaoTITBO#$;zt;qfb zlxs-veVB`BgCrZiN5E`OB#sJXyL6ds9w59YrPE@~+*w7|XI6bL)2UfjCqcbQAwpwvGQ<_g_}D`Pl|;#i~3D;WtPiWu11q zxwFZS!Ru=r%M!9mC+gbtMYaia&CpFoNO#B%_(HtRTQZ*$?r{0($Yslxg>-e`ElB)o z@TbBXj16HtmuiWF!)(b5};oFU_gF>YBH4#*EJ=YL99R(fWMkVUt6j>zW*FGEdRx-pS)V z9hRJmbe!cFZZymDLZs2#w=ZXfRGzQ+8at=&8#8Uokqz1`P@Jc@QFq^nE(6+cc$heT zW!|c+&Y7`JJ@%?J>G8hukLjz$MX@j6EUl(BE84z&J_qNOy)IoA?kkbroS=yHS4{es z9YSFXxXCh)PTA2h4~?c{P&hGLrN`-F>hP+J*{iC&?GNq^ydHv~poe+Xs<5759K~p; zW$_*lQc?c+Q}j6u|6FP*1?jnYZzm(;Qi*vNK=l2mm84}a_Yg{tjP9(|(XG3LE;G zHJBa!x>89|=Y-Lgc7cKEA3v(k|1xz-=S`ub?-_g_U>hHpY@dVmsrrkBw?vsr(7Jxd z_Oo>jTZK+uAu8awK`9M+v*TW-S=U{8uS9J~OyF9DZcB%4tQwbMek$xxY-mQor=F+x zhIs(b6EFuQu@GcRzhlSC#*h|FDb{@s%<;}(La40V7N%TpxQo|R2^5h-7)VPcV_fw1;fEO-a`!r z?&{H`!GAu=reM>OqH3}z*h}*}8wvmR_v2j+OhS7oWzLf@tM@)F`!9KRa*lV<<6!TO z*<-WU8X6iRc*;ZPf`#OI#yUzrE{?#&)e|NSTQjsHumLT_>f)mqnDW=Qp5?l`>poOx z`*7Qx%UH*bj&~)I`s%yYkD5K6(lA@6n^u+tl<;(!9_{$=)!C%hwV~U(f(wxhRy#Tj z`l{9>tDEXjUz3Slq7n&AZ9R68Q!BhM4CbAtOkQWY?U=h}H?7m(6?(X|>s5Jv*p%HX z8Ufo}+Vx#CY7GHBWgfBLrrZ9#>>KT(z()DB3z4Ur9&MALpY%iDLT<=^?#ti*v!&IQ z&4&|nPKJH>IeaQ9WS8F-My_e!puXvgXzre((|OBO?_v3EK9o6+c#-nMnO|){YidTF{ZbcKbJQAU)R0Crg;g+lY?+dou3_Z zp!{*UZ@VptDL>v7K?Tq0$0f@)ljsLnJEkGoQ>&`DCG_#LiC7<5WRZu2uq(SngvwuY zph0#$^k4s+J7@pm>=c2-Eo0)Vp)cQKwqOK7ctKNgqW0Y+cqf^hAx2@1WDDG$JqZ$? zM01RQHNT=GSQn{Z!2INEf70K*bmMW?lS5Y1RpOw~g{zr$z!I@N25v#aiq?M~rtg_; zcSc)Du@O>5GR_v#GRYEX4(4F5Gq~sYYoI7l#E$?a6g18x`V70g%;&`9@PGc9_qpci zt@YIlJA^DhdFkZtfNw2mpV2>#2v2V@roTRshMxdu2I}iGIhiuqfGM24+Wo^{58`|N z1dl)qv&Gdno?9IaezXW81XzU$@l@(6G|b2q#fXk(6Eyw<39{mxo!*JlK+J&Y}cO;&YeS>4s;qZFX?g2E3?Tk*^FbtG*iO9xj(79f+AiUlzPPSt1x4r}&Q@Kb4Am=}<%i4n7B&$Fqe zh!12>2_n?~$hmTDoPo6Uu_*5y|>_E)bwg=df+9UTbccwBK=Bej3LWoZ;Z zK0+|+F3^|i}0ujs1c6Cf<;E^ zBY)$mxNoLUbO?_YTBjbpdTnJw-fxzpH@|28((m7!2c=@6`6w}G7_pgG(j6CajIk@~ z7>9gR;6?m~e@&>B^Yr?yS3};-L&wd*3`raU<}Dakt)WA2T6K>`iOa>2%g&~N#GEdD z`|fO|IYx106NN@gTKuWXu6>O1%_-VsIV?11-_P*Lt3JmiOtNzRT)d{z-8<8YKK-@m{{AI;xJ6knV!B1&Ks1bGg87pw%3w}NF8xt<{+JxQ1IweFpEn)E zcYXY4n0s0J*m;eV>Ycnj-A5cgz^2?aJBx{p*j~G5q9NDNV3VaY2`FUI` zBfcI_bI{*~l7_`eDw3uE!0UQH1Pdt_0fHY{@ZgyS|G~A+v(XO3B{I+&+F8(g5D8>& zB!P5A*ubPNiIW(=L@G=`mgEv5$6V_khf_(gCbnFo#cE5hEpA#dsZiiQVU6i#WK^?s zqF3VPoTvQ=ew7umczJ)_ecrb5iiXcrt9&|FZ$L_UW%ZY5I`J798KnTJto6DtaVp=>20nv|?WMzW%^N3z=`JIPkkmV{J9rN#X`IIsKu-S>a@^>|#5$90`2_5FT6 z@AolY$LsYv^43L>gauu@wKmu0Y=O5uzA3)UE3!5ebdaKU<;w1OGtzL0aKVoouwmi9 zvU#3|B&_l|qq3pJfDD!d6+F#f&0uWv)mjx|bAFSR34uwzRh7KnWYkqBEUPzIWi%bO zF^d@+;6>???3xd!vtKh%rh)<@KZ6Moloakfo(CdZ1- z4#orCti5E?Q+2?C%@_4n>#4U^QISeB%k}#dSwBI)IhC$XcJTPmvYelL+gm;Yv(Gv} z7vchfJe-462Zr6BVeCi`15S%87Fu}y>5F{p1N(Ap@}HP!=VMO=Caz zZ;b^pqpYiLQ_e_UW*P)r*=uD33denA-5r9X`*;8&SdqsD6T2C}`s~ZrqXWE!M?`%s zo}a{It|GKzF7&_!ca=bGw}ge2=nfm-Of&nY`=`~BtA+(C%ss1AKU{$nm4G7wv?J}* zHh+3!`C^ETDO9Ojg{cH5O_V9!uE zlpv^VGP@8P+N9H##iLF<@<= zw5tvcC=1~7^76XI|I5Onl9Fj;RGLwwJ+gA8Qg88MBDZ*jFfwH9y<$Qh*{L<3O13{97=T`K9j@C4AB^?w^>y zGQD5XI(_Od32QWo6iy(;J3Htfz?|#o;$s`O5Yd=X&0&k9fVmHLcRIt<2A2RE5&jD^ zdr)cqgy4cQya6!wOU?~nnQp|A0`PsVudJ45WK=bC#{}cgQ31M?ipOieEM`TLj9VEP z8uLd9k77rI{U>cz+Gc4308 zaVq|9dv0Xj=}ax+Blr>k1ibMvJzs698<@Vu>_T@~qI@bQ4jYi)VYTvs0cZ`jwE93% zq8xJtA-(l`cB@+SLkAwb6QW2PEi=C(M?}JW!pQfXtT4ya03cd?l^}zQH>*T5m%z3_ zgKVDo;`F7p^3mm~m#**)%r2QH!e552cZ<8iYFZSFx2PkXmEKag2~r4f38e^&VXp(7 z(6tjkK>{_IBvDB)02f|31t3;+94?mJ_Mm*ZvqGnpnwsQxqFP;$a0&xK{TcfR!3B~u z7|Ha*yrZ5gV&?b^OBIy1B~4r-PL5qjRKa%fKf*miLt_c|Bq#gAE>G?@hE*Kju_? zSzt_Ao=r9?o|y&B(>!{eFY=mIH2C{i@nsoS(&eeMi;Kd;GZ*8kK5J2(3;YW#C1YL@ zjR8f2Vsd$C9{jM4=HJrg!>|;UB2SJPwA&;5SpVew)!1k9=^MzF49*aBH$c^Fr0^Wt z(gDu}HvuISLnbN~^wc)c6LzW(H9f!<`>Gjd+mHjx^KPuzmA-TBR{tR#mIHL#1=OkU zy{3^yhyL}JhiSb`c|2#(#li6yh6_uJr|Y+O?`>WdQ&{B=9XfE2=3h&D2rHzbIFtO? z9Hyq$u%lDYxTaKyIG7R%c4p)n{#@cOK-tEi;Ics+=#E54LV!YK9_Mj)TMGb=%WUJJ zJcXxp)tYs;iF|Zv+KJM9F;LaJ^}a0UywXjgka2d7S~2wCp+no#Rw(8O#WL;M zRs^@W7k1W~;Z*b%7iDX)qpa%g*V;WZsXffUiKhf^Q-?RlOaQox9!S=_lQbBIL5*(U zmwcaAlU;k#%z&xoD~NR*|)IR*gzg0FOXl~ zedthJ_8xQUkkraPy2FRx7G49{mMKJDo^6u#cD5gNH2XoZPR9l1waZzAA%IFU!8B29 z)@oRI6MO~;n#hb`V;o0Ool_Na#LrJ|C&6rL$wu!}52*sL${z&^w7M zo-7DTTcOF{rHq!L6u%e?j1eEa*|)O>E}Hv;sR_5JY^492IddE?3vl1Awp`0e5cwjO zHIWb25Z&mnkv=f^id~xTgVu^T4-c0NXnVn(u80XIHA(5)Ae2$45!bLI24XF1hN!E= zNQ(UwSQs>dyGQK~WBq+u3eQD$UQ)q9#M;Mq5|*}mjWon!7!Z;5Ytke!q%U4G+0n`1 zX=0-A{t3$imc8l;t}QkRG%}o|%Jva1;12C!AiB6jyS6keoqrVK0lgjZM0aMmXYiRY zcLOR06#MZ%-af4k8QEb}fq^PiriySzL$JXlwLYtGcA{;xc=6-w*B~T-9JX(_6q+$_ z<@M2*3aGmp7ruy7F2Xb+j;?ohepK98m>m@C98tO8cH6ogI-`#}Ig5=T5=yjx`Enn$ z5bc5I#*%}$`z$O!LiXp-Q5kUp>p(^l-Z-VE*d4)BgO|UTyf4T^dHI29WwS$5>iRdi zq?O|IcUd}pG?4xLE3;u}+~&vACA5SvnIwC?r=KtqYZr2oC~g4}imy0SO>r3GJ(np& zVa3IQX|^7ox;c5_Bey7|x}6@fZt$bJO5+Harm_g`P0ZuK9SY%`GXDSIO~ zAl+efcl3*O+{u$;EzK?9AH&9Ad@+W@0-gP4%e^Z31Yeo&f^H04_eEkHIqIsvaAsxa z3f-0Ll6}mkL9(LQ^pDgk>cg50?i%92V_Y1XS5Q?VC|4JiVlw$wYIC?GEO5il2y?;x{!2+BBsimv zOXy$oGutq40Wd_GN5qoNKY%Q+v<;6zNT;KNkj@@Pmzf9nz1-JF0FW*{3!_k9aBE~z z&t5+1zp+N_+)t6h69B{b#BEn8xI8k_MRm$|+4B46 z?%y8=ewtv`lIlSWIT+8tiT|@e0>+XNHR|p_J-#W}0o0iOvP}@&kqqG_6dd|i2@XvB zGgtpei;ZDAPW}E@=C+{xOWJVympU~#9(HBn$<5*QWfK-FJ+KfXvPsWIRFKvi4?D0# zdBY&58EEmPJm(Q&s!?YD+F|bKlJR*~6?uxlv3w%O7Va2|azq(xAyE^6ApTcjyg2FL zYp*-wteUS88705-KsU^r3}LuITII}Ko7CyWvKs^-(|PlJ5^bUq_cm5+MS}pk!PB-u z^(CEw2-}#P;4r=WGP7`=!hMvWkI~BGW0l9r09%Po((mR|LO}=@`_KB?I5&Nv<3ybV zom~Ghcq;{reZYnUbYL*zqK2$%r1+Qo)rMXNhJVa}&-wWh>o`)STV94zripx)2?R?| zPLt<}?3{g)Hz?ZxF2bCp{d`yA>STj2gpf5JSof!xNko4w3Kt-M4HFUpfOqIQ;12S{ba{TbGzgMhKUx@>k`fSP*RcpzvI#pnKbuJ zX0@We#YVnfe+F3SvJw$L2yIvJ!~Oe3yhluAGZn_883T4biC_8S^;3rJiWyHcpVz(h z`$qzHL-4rnqsM>$OS9Ljs<|cWW}U^u5dwy+u0#}h^@4~G$mJY&5c%k7YG?FKZ63?! z?tRB=HnS~)iF)7mPL4V`A9q4#q7`D1kpsOEe+OQ0H)JvN-4gp$l^G?9HvSvAJ{Ef~dy>YwRfHFFx*C>s2F`M|a2?t|3b zP7~`Y?M(Gw+AHkz*W6vrwk8jlwq5F0c*49`2$>wQy?%b9;7$oKUR%22Bu|SU4Y4vJsTL_1=MM7BHkM^m5y?^(IWbtBc5E~R zG3)VgKU-oP7&yBp&dYPwWdyCq7s>jYb3*mCmQM{z2kDMan}e z+~bU1Z`%w63EITEW;%FLtb+9eVeyl(EG!ku5!Y=&e^t0E(kmm@up5;_LE#QdK3KWq7PNWdM+7no>tiwfv%*cAuRIyFWXyaXjrJtKe>QV#=14QE%&0ke z(U@Qp`sVz+os^p_pJ1{f8!~^Sr>D=sQVM4Afz0{CVH%+{nxtYBT@VhBRk~m-hBy?= zf?&%sT1|^{a|!60Pr&Cnw+2H;1_6eSp99p^fz(o9NoXg^^%T=m4}P>evj2WOxCV{R zoS5|kkRt?6eLz9mi)ClAv2LR(nk?%D9qv)8vP?YE+smXlfmj_bgiw$4|dx&p>vRD zN$Awd0o7l8ZH^W*@JV}jll6+b{CbVkjDKDQH0Q^QMuX!b*f1ZY5^^RoSe~#fD(L@5 z-K@YkE1zCPSU9lcPyz4)g_ekU-6Vr7_)$<6Wct9MMmDu_b$CKO{U5oP_0Ie>#ZuPZ zlYFUJT$xYfU6Bp^81GgCmIPS=bRQ#zO zwBU_m=Z{mV;kY$q7YKfv0V)wlQUTNYS7uej8CzR-hEO)<#PhF}1;=HJl5nBOfMV1| z+$S)(m+m`$8P)Kwnu3wv85BU8u@O2S(ULE~+R3_fkl10|_V`uc@yIiRQ^IyLpopPX z6bV*V*&6zVE1z#yKnf`EX;AMEKKVX!a? zQV;kGAYx*)5{76%Y8-G8eSzl+hZUoh%2=Q~5V<+)ewO6sZo(OM;5Mz+jp%y6Qj+sB znd4FKMZZsD+wiMb-L(2-h|4PGUjxuKpu;3B3%y+oS)((xOQH}vvSVu!_aC^Eo_{Z% z%@XhE<7n(SrH?*Tz&4~#f-t7XDjVu=3&qjfDPgFB&doszkJfZ z#BQA$wg*C2-!T`JRLhnx^3I+$J-0vk?Wt-{FE8e?#aG5OP7U46&0qnJ4a`N3^Lme)>ep|TU z34J)u3PM7^edmtY5VCi;aNq&9cJs(sm8ti&!y-~KJq*{A2t@n7E;=^JJiQaI+<&5+ zWhh?;MqN7;6z<-%E6{V3M&(-nn$`S(NGm5tN1}@TIhWSZK)|YKZ#j_UcMMY5(&GI1u|NI#b)Ee>F8VM3gbW_F0PR<1 zlf*`3E$**Mzt!oPo7W*b`|$?8dH8KY?EO0|Oe`FcP&C1+Hp6CMlZfNZ#!66#u8?RD zOHp8m@Bl9Md|sviP)u}yHFld_D4KPDI|zHJ0UB(=3;1t|*ft7e&gXZ?yZ=q*JOEnd z%TJX5bagi-dr+IcQ~Zp*S;_||n{$h4sA&#`3QhWD zAEPFJZERR7CPfKQstvKy+?bSNVd=MXB9J$M0;-Jm!cBjc!6a^JM!U^Fm=|^KMJHYJ zHo#=p))y~Gxw32V@eSo3yPTYzqL!)>40c{0<49q}cwpLyvi)C-gQ2AI66pNMh@dlq zT|xP3V@(Qqd(@0 zk31%&3<(Ui+U)%{InOR|lCj6hYn6dgRr3x;s7!cKzaxMO+k-;Quy(r>Uz;A!YF(-gc`w~3>@*yTfUusL2|W*{xhy$v1al~3|D>Z&C+z4Chc_?^V}DgMFGDsVS&^fkX&%eKAY(eLoe(85A%zr%<1`aox_Q5Pwm3l zT<+ZS73L1CSuU@hj}$-BTzAGsLSov2*R&pl<9j`tnUAz|=6(yf(VrBmqHX>V6}xlK zWd0(MCDp(V-^=s283y}381Tu;srCHW*b~*$^V(;ot2qJwq~2lHMs>=!;$ez94^bHY zc$D4@L9$=uSTJOf08M6ds4TT*7Kg`;CIBG4<-iT4HblVp!%{yvf_@C(_sg<2C~Z-X zlQ3N5=cYxCJM42RK05qf`_M5}?OJ87+&A%*=}kp|H1uSNkNTc?-m{ZKr-yRA7h^OM zNjnI7Sir!gR55em?h!_)HK-{@)EG9j>s0l*fNnqxR_|i?nIf&3BLg&~mSS+X=av>7&V1RJ~WHY4+N@j=d_p=Bv*APc3g9Dd!OWRJ@Tf4U*l07A2`Q!50^8CIOyl$D(d zYmB^}jPmnTf*Q2JNuMsC$*tHwaflCMXi7iBy#X31zk#+rdLLz&ObJEy1>>_aVl~Y# z4eonh7aW4Csd@f^dv=WmR0l1LQrd=A=giBrG1mLnEldsjvmOx$`D&{S;7RErcy03k zTlVDD0B-X75t_NS^*#*3h;Jbxop0>2+rc<5vGELcuXXdtPCsYmyncOi^bI(6fTjf` z2We?(;RiZucZWrcA&5A9dky+b5Krb}f`Pv-*vIUM?*{lNKK?k(ZKdsoEO7=1k#ii? zA@O2(sWTYu(W5(Qq3}-NsY$t9wej~HYt9ttW&+=_VdKU^sV|K_X+LuH`|-@hWfLUQ zx)Y$$0G~cV^*!sZVZnnKJ+qR;J9$x8Wk0T9JCdvM zx21xiWgq%(=3?*^gvo$L5wS}(A_hf1kI8xRrq@qrdOeB@5cJm4cMcxh8goHT3&6m9 zV!7^&lh~|41a@_tRTY2TZcT$vJ-?luej>uP^6H98YNfF>tYVOj9FF%ph>s5RMmqz` zo_VP7ihy8v(P@ud`}g@Q*EI0ysd9DterBgs+5Xv1c(uYHVoK0aQt_p`GCDj|boTR|GBCE#b#y*wOvvGweyRMCXf{(vhaKH|da!QbU? zA31{2D8M5Ck}P{1GGwN~oS7^~;oFi56tw3p-Th4-}IZW#zk{99OfT8P* z!ZaE3MTuWv;FK|AylT=x(eX(C++yhyi~o#o(Q?>Or(>W8DtV5yLq|YX80hh<#@)?$ ze4`u8Xdzu`YQ3V*lP{2zD8u6I@^mP`HXcBR}F{ib$uWmj>%Qk)(Z*>X7y| zpDc?R#xcQD7d=*m)xc9_tF39dy~&(86A*;dte{H(RV9sNn$?<~n}K2|kw%#9F5?gx zW719}Ap>3QI)BtL8wmUlf7MpAtVIm(5yfLxm9>1yD#jsnVXzrHYiTtGVrLK_Zd7*R zV%J^ad@`LN@&Ar_V0@9?1ky=Hgs_673e(S`LWt?kJeP z-)%L`WY(+)I2LUz^qHR+GV^WszCC+NVKrh`M&me(A4B?4)C=jN{jR{;mFpYYO$Kg& z7e4>@uUc70jQjug`RV0fk&gY*bk+3M|7llR$~Hrsb?C8MHJ`P5sK$Mo6IKBqR-nCMJGLqgHLr zojTHKYr@_J-IH!mfa^L>4NK(;{Slp~CHq~UpL%@f%MMhi94Pu8+UOiOA;3j62sGPS zt4>h!{7c0KBLNx)I}NOK?n`(j=j>D+P>;mbz*f8+v4uD=tFKC9x<$sLCsGRm&SV}# zqQ=+|=4(A`CRMeO5c+LHlVj zqsNbbcv)^ehyfl3`8{_AGId)3rCk;%r*;isF9uvGs)vn*9h?DWA#tcjSf=r87PD}U z!@}|xfUI2Ph?~B6O*r%Nionn-(r$|)8+{s+V$o?K1fycIS?nI@ra7S`wXjV5S^)e- zv?h3*rCZrdCIin3cSQ#EC{EeHt)T#mEp{Bg_|vIjpIyzW;j07=k5H^%UtnJ5FkSTt zW)+KRa&WgasQvM;Xpz&x=K%J~cV`kAJ73?AN);mhxEn~fq@o~MH~T$I|Jw_$z!ten=EVZmeD{e?7*);ZT*6#y*}C;zoOmOEG6@s=LO%|x zwweUI$UH2bUC}}uA|}gVMHcN|U$Q5CWsXsiJuj59$N(b*5m=Be1RUnu0KiW+m>7=Z zoxnh-0eA@A3##xI-U} z6#)>S>>GUmi-VQE7t?DNBHrh<8{&b4AQ)A+W_^_;-;z8|4b zBP~daJGdQv8q$d!sGmc8st-S*uBFE&fr$q-6gg^W*aax`zUOuNJ^SXbz3E z5Oip;$c&ItP1CN1Q_*EcJN?T}0E#&BG8N%h{*Ee6Z_Ae#ZcI9zTDSox+e!?L#jI5< zSRmgBeE;9FkI9<$y`8!pN!B#(zhC9I=cSAlf$1xB)SDVNJ9W5q z@c8px*%+VZdgGeyp7#-^tDQ5`>?bX5uxszQy%;XiciZ)IyPLLuPZ1~6RvgIg+5xNP($6g|jBf+Ty?xtT-*}M*iv`)Yj|id=+MHMs zxaeBi`+*Y;Oio^bHa?}J(8Jj<(W$qAQ*3RAP~+rfxda5gw5T}o(yF>}_^-;JKTTL@ z&(4bklDRAa=rwPEjQ0)xslII&E_YJaRmhG_utRz3hfjyQ_X%A?wL!DGnB)x%@D;e2 z?%5^%Nn-5l2SsDKuRD~q#_20WneY2h^<-s{(Hhr+0V$sxSviJ8;A*`a)kibZV_=pr zwkdS_vOglTFz<-b)yV-LTmk!yx6J6-dUfoCwdZE^&Uer15Nejbuy)p*Ia8)S8E`yl6L} zQL#q8ZD)bp6-JzPiGF!x=l7Mt@$OlH2?rXu6neq@ayhd2WQ8nekYOHW&R5jaNtet| z-f*)TIJF{j@(z_gi33c32e@iid>9#dEr#t$Q4c3Fl~_G^>Zj<5%h$1`r(*Qd%;Nm~ z?w#7o@%0D@37WLpy1<}lYTB@*#w5Y3E6&g8Z5#jUg-i93r&}kU(+OI$8KQQ$|JRqZ zEcKnHHtco&u5Yo^1N$BCTLtwCHhXch+{oi;qpC$gYqQ!1-pFiex_+UPpNewrxB*`- zbjUax8#zzWsdPzy)v6WWGpY8z%s<4k__Q-46{byls{P?-VBo+>O$XYy_E)U>U3}+; z)#2nt^qI(71?yO)Cs+WU6E^5NE&5b;^XRHO%t&+2M8}GS%7S?dl$QQBPCv6ca_iOy8@=q5XIMr&KO8$Kc4gDG)7Avp?t4)@NvCLKak2e~ z$|)K~JvQYuMWF4)*lky*ky(W$WQMIBowxDB!UIzqUqW6&0?8wM#m?8OU_&nhlZjZF zQAX}_y2N4^$P_Yk1ErsLz<8ROj9?>b<@_hJz@~kZiFlgb5$|?+4X9tHYR{>8L6WBMQ@7SKN z_)^MNR#xI@z<-|yKY7$)E8sarpxA`eX0>n8;(6D(%NEmZuy?m1RleHeKBB^7hXHk0 zQBd40ME8FYV4-&xnk*joSF9H_jmCy=Eo)K!i1jw>6?Xmqi1n1~h;9Uc~O7W}d-D$NH|&(E?N&b12}*?M2sKJWp6@p_p*%e+s^Ri8~Rb zoI9uHKT-AG|0BFhExgkm+1>m9Lv~01;}>0g_>Ye7>eX^gnQv`wn73t^mZ!ba^a4J9 z{}`H5_NOg`>(L?b$?~(;zK0tBCoc@SdiC&8b_dUrNevcQvMA{V~3;Mv8axsqAJA$e#zk=p1GbwKW#ql4{+*_f3XZW%J&42co!f5s?eUf`SaJEjFbxb#1-GH{) z>-TsTH17X&$iibw78M)|6y>Ar?VnbCV@d_Fro zWd8=+YrhlP!~|*GIvuemY3Hk02fhexI?^s7S;?qAo<)>2>B5~B3j(YmkhTE+;-tI) z+7LY0>1ZirMFH5vU)dV27Q+0Y+Jpf6WV*cO^p~siRs*|7*MMlPBYL1_|K_{A&_q9IzNxCb8Q?fPVqAQxLi}KXpJ@1O*r%4^vmE(2| z&+G)^KvF!$koic;2@;SLjdZu8L1$nkp$=t_%xtcjG)YgN+MARXHT9ZvGxzostykg# z^;Thd+92Cz84Bwa{>hnFl0?r!rjMvJ&#F(}5)UF&AL`&V{20g_hUaE1xG|TNJw1U= z?-&MSyp3cvws&W~9Idqmd!CrB&Drp>!>9G{LroV@@{02J?AeI!B>}*N-MVyvQ8F4L z9sVq+*20g6r>)>?UPh@$vqM$>pR+?y$?Ea9-5FDIs<13NfDy`?uQ{W|w)0(4k?&t> zB#^zB{!jyt#SDm}&pQ+2IC40EBch6SbQF-JfQG<1SP#LnI%^E7`j|)f@%-t`WX&#a zMP0jgJz@NdxCl1e492HSO4);I7z`sM5Z(~7rUd3b_er=_MNTAO4!Iy%)Y^h>c{@)? zXlQ+9wjL|Ms2fMLUIt)?N&wug$H+g6Ug%`01Y{RCXB?O*!ovPeLk>Oi6n+Dc*}G4l zhIXrDM%N7~2;AIxhj$U!v0|8!m33uV@#fWzVc&&4l!v^^_Q%KPUdL|2vxip7PDvid z$&>Y?GY!rz9e+EAX@l?voonoLj{LWk!0Yg(QL8QhIuksY^3wzniN9fQ)NJidI~QIZ zo`^)ZS(eqvSY=xWxW1U_tj(lUQ4@fe2`=%0m`iib^6pz0@3(3-XvqesP(btu{8z^A z(KBa&2ERmOo=?L#HAR;uFOCn_Gv|1S{^8|I`_ChIaG>YHPG%s@LIt|YhuR0gsM!hM z|LPYVubDS<$Hq4r0!5vrV0hxF6E-Z|li0RhwCRTH#TwOP`?L*9H`$=&{tkV&%r#e6 z^mjmava4L&d+4GEA-$vf1pN`#h5u(U?RY+O=Hfi}V%IhMG9K${e4kuTLt(y>>W4Z1 z^jdb~N$A8IW}!d6FRs4P^uo=Xa|=Uerter8*nF_3vS;wa)~D+$)oZBXxBc-NyDdj@ zKkSMh`SVFH4UKLsr(7?XlXT<#2W6Mzu@%u3#HOC?swCZtA39l-dLB|BOxy90@CF{* z$)FFV_OoR-;HmnQELpJvxj+jHfdIN#h70ZiACWO1(-;9EsTJXYM4asVBq?bO%)JjE zjDSgT4x$HAJ{#KR;!9*FJ*H~H4&Vplp(e^f32HS+We7xb*tm6Mf?ZMZV8Im=rhqV)A#dpF z>cT!YUb5tDMC6V1BEIT$3`z-me^?o>OZHDe@dhK3%g7}nK`BoPUNrC!_4LWm&?f!* z^%JE!M`~?D6E;&a51k41P6Rwsmw`5dEILxx)^s&*$ z^KV{bM#TilYL?Z$L>o!XI4bj+%r4CQa)+Tr$Z*nJ2wD~1E+(gE^_n$m)N-2nr6DSt zUp^DsGlP}+4EL8WAEO-r&b%F3B)^BpPZFSLXMk<-dfAKIRR~=ORT!K7191*r4ebL! zC=@p7E(f_IA3;YC)+$!F+qR8@OqUnK52AhHZu-4{ZH-$@-NHf+5r&}?Ppvjl(;RLU zaXK(=VT3(?|qQ@aA)75PD_TFC0!jtKimIAhCW@dtd zaZmxiA|fNpK8?YniiA!qfO>~55iCMlIEC^iTo6#bCBZJXN1AnWVrcTIxENXcHWf<_ z4k|UdH1_t!+5s@%F{{7av(@HE_qAdHMNL)+-Pd2%D_aF}tt>k*n0?t?YISF1TEKZix$6q2Bf;wO28*3F| z!*cp^7?%ns60`ZbZI*Eq<(xp~|9+xV?KZs11UttXd=h(Y*Yga zH$STPd#$6Ra+J18c^Bv@46uRoq58oshg;kutHg0wLsHq`!PlQ|f_9@19n9;|*sXU4 zoZRs258q-MT`%=M!&tgD9;@5u5w8m49=S}>+!tXKQMv&v=nU?Q{9v9o$>~nH`5x73 zrH-Sz-cXE8asT>gz-*i%7RN+JMb+~PWADn6!7tzt*xUCbhBUY3STjhi#~O7w=1sTe zop({k2lEoH@~+Hq+N7?hR;KEp*C4QwWWj>DABD42=us#^WW}|VK~n5vGbjs90jCWV ze&zM$BxrwbJ-D53gf6Rw9@<=WuhxyYZmjZ%>91mDe3Kcv!56z;dD5|oqW@S#I=35p zXH@@)jj2frn6oyow?iuwW{<`}3T|cg{E=VoL>ieRySFaZu9-=5=geT@48SJEE z-RD?!RRC??3b3wCj;VSWgQ%}eD22jHZOTYfc7k?7F3wrR_p2c(kB!mIXkaetsfjBE z+;%t}4~4>UCpU)!A%+CEZyPeLLO`3bE`6m?PusR_3wKG@tucKib&WP}UQ_b>m;FfZ z!*r&9Tqm4mMajAY+$CX1LVda?5G=$E&=M(2IQj%h359Z&c=EsoTv_pTKz*wA^$7eK zS>G!|71}T6AR=%OpGbs=qA-9h`swp$T$hg3$?^DI;lVt-DSt<%figVC(Ui>Mqtf>s zBLEQ+lNrGEDf=$Y3*SW`W_*kxW4l#@n5z8QmFw}V@^W$<5r(o`bgFP}DMa)f-qoij z>(nBt&FKCpf3AQ>l5{C-;W!|fdm`?LOm*Q>v?yVxu;-HLt8JSb;i8B}f`1spjD$#C zUKxES*P1X)&=F2CdVlR-D`7J;!2g!Xi}C9HiU7g^C)q%s>(Xc`EQ9gmx4lu}S78sq z5CjVVdYf%|r@)Jtl)*7#zBG=pBrlRWgMp|bR0;9B#x#QeHNd*s@Wt00d*eWtpjCf(>gCwZ2zaSXjjR>LjaQBB_D720s%fQ@3L+Ez>?I zBxVAM?WVTpJn`%P2|9Jko7PCa7kOzL7N&4IP;B|*ei6hbph#mluaE+07O1iWC1eK1 zl&ROv>CEq;8J#$9axJ~c?L!i@no?NRZ0v#URxkVauZlUW3f;DOw4YxDGBOJeR%cjb zNRxce&U@~m#UtZJ08WVCA``taqy?sDcs$`}-HFn~c%+)(wU8Bwti+J|v6j0n!xlrc zlcIyhLC#Fm3w>^D?7<5oI$FlPyqlqj3<+r^ZY3`7?q9ob!6zmrW_0R3$Ww9&(cFlr z?=qqWCY@qWLOUqqb5cY3&bAN;m(Mog+^7eV&?&cp5rJshEt~8W9CQ9A_fmmiR-E~asQsVUgpb3_S~5O z^GTKfiy$3GY+%CNV=l$`0IR9G#%g_3sSoXjkkJ4LLnQ9+j$OQXaVG+YR@8XK@xR^i zpS=_%8*qao$`3KRCy@3bH_4&_AvG|+x|4g0luL`w^*LX+nH22wZg z(F|iKhv>tqC%5y=E=OwglW<@NdX2Of%kn?=;eaq4hJ%=%(CYs&8A9|JawOZIUx=~4 zh$!sr>_k!QR$cy$?bc#F`)80sWkTU3Hsc#7?FhpfLZ*%2f%dGp25KkWVHWO#$ujoU z_mh)-`4itiosrf%8M3OZQ{*=x*9o?ovpHfR9GN>$>QOd4WUGO!wt_FK5_+GIVSG1s zmeSev$Zauo0*WEz3-ybpgsHjOfpLL%a+@za%tptGp|*rn@nV<#2O%M2bKS+lwY^=$ zCaE7%XBa%nUyWo}%!dh3;z`E!N7ud^O5@pQvK#JQB`OYtFFP9DAPg=X+>T0EIvWxO zodM~B7>)ociCvKw1`iFaLr$+NM=_ z;rSAtoGZcD>(OPRX}y4V>oYl3Vxq)Hm384T2)(DC$-|18Ws#tf_H=&t;QEP(fJXB@ zHiHN$M)#4GlyoDASvN5=k?gfSUyEr6Z}|hSSJsS5rYTAfN3S*ZpECb4cmsFNKcLkd zie?jbUJbUlxa6UG)GF6tPpQ})@-U%q$c}p9or{D6@<^S4K5M zHh@qbz`l45KDJ+=*MSj9=ofnp66LqntNVZg!DzO@u?0|Z+uCZ{Mqf_!=_7XSin>f3 zKi;(og)6cdTxj1pD`=_(n+?8fS9HN}bW z8>R>A%s3Q*x=mC3uSG|=n8v<0ecI-JkL4b=!S25-K*sf=eGOxrcPc$#Qld+kJs9SKD>Q# zkWWLURSkAoU7hSwbSQQ9FsDy5Lv`Yo5;`UN!sTn;eAVlhvVD~z2M^es#UrcLP{h-A zlKf~H3w-*;<>1ABAH5E(9|@Bhr8^#PBuuLKRZdQT)^(x8z#m%W;E>DZYB6OZc=&h< z4b;XVhD~Ih3`M)iQ6dgIp&}PU;ertzs2nd~U`-jFGUlqh_-|eiaT7<;~Wj>`d0!g24-!Z%cc- z&Qy<_53B;8&^C~+HNJLgd|yi;8CnJ@yee>;3|-jqgDlkZ-U4>7DnMtJZMM)B5wgNLLTw^I`xjB$zFRa$FR)cyrUUUBC>GRT*wP<^pj!$7=4AZSs7pq1YW_3J5kp zWN*54jANU=y?cxI0-|#$rcGo!jIiLSuN4%3$C_EmGTZX<9c$ZG9m}cc=X!+v_lLRO zfr14<=w3Be>^QaGX(xhOnHPYmlMeYE0Aws_dQ;Hg4tN@{8LnvYRjw*gOrn)|@tj0`Dzj}C+lJ#Djl%ywn($%)!Nj^vYZ*omw!fh3j4E?=nH z)0D4(VbNmqX;9X{;tjTpGw)E{*uKM@f* z5X26_t2Osc7+mu7$rBX?|HgSjNG54Ddt;=KXc3*6wOE@C%}4bd>H+J>ZdQn)pe{04 z!ib2$yms$bbp`~5`yDMHdb{mEnqA+sW?sCz+wp7{XXmOL{YFt{Q#@)6AFfWyL^AV+ zx|u)|(0WrPL883zSTx;H_!3pZ* z-6MY;BV_LyT0!j6ulm8Wk!g`meZ+a#?0r;2*~(Ei*ahrqe;h3V)J zq%MLGEh;7Wf-oY#hWch|4Y;bjc;xzg)#1!_D1_c!Q=C^~xt%j}_Tfm5sdH>c-V@YV zZEbB(ro7@}_v8^z{FT0)kB*AEdkawH*TLiub(^%!F;zN+=<*x5wgc9VzymT8B`gp_ z{;YBMBd(Wly)I4SShIuy5byP} z4rZA~s{=>OHJ=$wF{&3*6QXy_N&Usfr6#W|#Djt zks%tz%?)}+0RtHxY!Ls_e+Wx#v4LvH{00*E-3dcMY;j)U=8i>q3$YapT1@++qxInf zbH2d~-r#E>weqS73H|{AsZZ4hVQc^9&709v{PVFWpRF|Y%)d3^*5me5{_|=v@z~jQ z#`NhLQ-2xOxh(*9puTR9e=GC35#ovS`Bg9C6FmQSA1t<&40=|voB+=&l|&0=1Y}Z% zLC9`c<_3@Hdmtmzd+(bAHUdij`1fqXvY9OGE zGtSlpkESE-cmGLL?w0mdy_$R5vpcDQ@{>=i^te!Cewopz0$xyTh`l|pl+GO7PZWQ3 z@TIS#9jF9NjV$>;DMwIH9cFO!uEm6 z3WTzc8tH5zDi0j$L`#48FuzozZ{H?>2Oya!V;96j0S0p0KH$A}zpd79o48qopryR) zLazw$Am&x9qOfopvAIyzuuY!a4NUF&@YWv&Lh4HCN~_KuqAPvS((&TYSj|D#AYMbj zotW8x1F86&vdTt!(;|I%uU?J3)IC&QmnXp+=`#9c?aSrKkfbM zq2TtQQIwf+FRH_~0!@(ps%lcQvUWXR^2KQ-7_;heCG9fli6$jB?$#~tj#6Tj>^WY0 z)^Fp7Wrd4#Zzbfe`Vl$p=kMIjzd!QAzzfw!IJd@Bhc0M5t}MNJHEi29z`gKN@FgGb zs!wIk{0b`V1@C&(j&pVTUFx)dqHVlEWO|+daRI!t5^O@O?~Q!5;cICn10hH|0?#3a zTA+MA*iv_INt@irSlkt5+QnzisYf|B*;L8?@*Na!`zHzRY z$N-a3w;0Xy0r&s)&w{$%qkH#%AbvXz%M5Zks+H;mfKH-}tB5TjtV}?{Dv18(&HXWy zf3>V^=E6o*)zxb#%3Ct*B2e;bndyGz=!KZ{jG)!Utw$Yn1NozP5_03PVUpe&WG(|U4lK;6&m!Jy=P^fa^fjCn$;w|QcCsi~~jegRx@1^r5N8k#R_dcW^ z9@^UVa29ek}v{Az5Gy&cg~C3 z(IAmT(=#u7O+6pbPmdqg;IZn*Kia7riXyg?bVN!3at_|QVCUscTy-X<1HR_vUs`2& z6sl@wfqvsnO}tB+b*sPG7nw>$P;u0(6yc)-%_8j*)S7{nI1aylJr1}4%c78Xy)Z|# zf{)^MjE64!BTwI_%5X%h1Qn^Kj4EPwT$Ow|s3)aFo zw9o{%PuDK9r%K93w~H#94D8HTN2)tHIU#$#JMIzEzH0wUG%%?6tgel0NGkzr5MFb0 zi(BFch)qmdyhr?5lkeZZ3$XX%M)%Dv&TFgJ2A9)U#@0{E7R!edkUV zLgrFiPdvp0AIhmE^E=4myt8d<9-5@yV~%*V$L96(Z<}{}xFlCM==jCx1CwL2Hkh0; zEj#3~Rl34iL1)f1fOSL%2X%^YIlsRu#pyrVk##3+Z`95DXLW+sgU&UJV=R`(>Nhv+ zc}&~~$;qS}tkY~+*UR45<;4z{9J{u;T{is3voZhM`)X=xNbrUQ0yXB{`3Yvk3L5v2 zahAMbS~_Xx015`-#K5P%H~Ai%2m1etJr{s>T8z*oj6IcB!OTpBMn{q{!nEg0Y{2F| zI!4WZy|PB8_8G8dPLClr zj2q{zx;|^k;HIicA>0qpU=qR>^;LAO|JxyL8CF1_-?p%CggKH`OQ-XW(6cx-z*S<% zN+tIEMK>}ZAO~XaXP+G3h5tP7WwEM^+5ag}D7wFj#2+>{<}%9f0&0T#q3*T2mfQW7 znwVt$^_CY_l>~yeTl<2VQ1tG>%~JLi`7<>Ut|2x9cUz^waoJY35}E>i6{B_ovz}u< zE$8+ft#d%zVn?RkVs5#3TX46yq@Eb0<55fs6~G7Jx{|)}4HYa6J|chfvQjwJl5=~?!`|M$qlX@k-1^UHAD%yAqh zj`EAHHPNzU^Q&$`{z9Xlx;u-1R^Eilfh}}DASE7sE(dLH=xKP9z&Q?mHw?Y44kPfc zf-&j$+s4e?Rmxf)4sa$=gSJYwmI|70KALiqIfPwAuA;aPRa7tmX}ZB8 z-PCABwR5#OCMnShe714;D*xqGna-H8nf!cwEo(Y zfhCBJziU^oct(M;WjNGK|6-Ky`(ZBEBTjFZrXHrx*VI`psValrm8nkF{x)5(-Uq5nr>=+K3&CxYHq?VvkRvvPoaZ2tZ55)%~z6b zvv*rZk}YJ$!^~*MrbY~YfqZK?-62pkU`c^NDLPiHV0V?*-lpRw)EAmLg%*>l?mRA3 zbC;RF%Dzl@EZthM%>tLUFnu#Wcq<%m`hfBYU7GVkR)%NZ#|uZgF@iD^23qiO^Q&e` zY$PiSdWvz{v#cyxZ_fl1`R0d;DTL zE9e$es9KJ@m8-FtA|%m`|3e~{;zgpQ#4q4HLdJ0cxw+sElaU_91pl~!rIhRsAm0Eh z5v9<{EXjP2vWQh8VpxmMcO=a)NEy z+n>R(ZRgIN?mJGhm^}-XutBODj#}WfB*&@|R_A6konz_NW=oeln_s>4)QW-70}Bt( zdfT^dGyqIkppjukIzW~k^=>>897ZGhlN+{^V6S6iDoAU0K@Fkl6<*r9>Z(8869g&X z+m;Kv9yoAdM-NBC5om|>fXr!tAqs(e?}lcjskvzUS_p9f3*y(yY(XsSxnHx2+Sg<5 znbe}S2P{gGxa>IO{^I(vh(Qam2)k4-GTb+5v9$S1)%hq?S2TuCOsbA}|}$fw`6LnK2e^t@@?z-99F; z!OY%m$WO91aDyf2C|IDPYU!VtBeZYfNDE&AZiArOqTxf5&uR+r6Phe10fy7KKw9As{Jj}R{O6*os}A>!V%9F~S2y7`-L?!5XjLdH?@$TXy}M!^Qz9Bz zaiIskCOU=O3!EFeh<*y|2~)=t&}T?*Ky5$an2Fsik;$!(B3RlkA_J5uaj}DANAXWJ z*lom!*l8xQ!LsL`#KS;c_LQY6>lJ_aaNnz$!9yv(V_kHainp2ax>d`TvVvfw&p)g( zh5X0XmBk>i6pwK5QhTKA@$qTQTaihN(3Kc9V$QBg&q(H>HZElr=%cU%@J!bI?QAxf zLXK!4hTzkNf7^Vv#cp3;kubh<0U0AP;vTmPCE=vOuj5sKzj+Jb=rB7Bk8ip#qj#^q zee(g-DYllr`F4dlZ6z~+KKI|XGGEup`J)D-uZ@$6_Xx9Mh0edMJd$Ao{yZ06(;;HZ zeN6SbN5tfV{WqoC#|H{*fra8Xrcx~V0~XxbUUwJ9^QWh6IhTO*tr+;?63;4(K!Zg$ zxiGa;n}MRs;ub0pVk`9=>{8Y`9>o+{AY(QRO(yeq3Xa2GNX9O}vy;K9WGJ)Y{T^c& zFHwuEAPHdlv!!3$PeVtIwZj5IaRy>U{e0|Ctg(f(ab@;h)pY_89~_|YbJ@btqf8zq z;|)v9E)^9fj#{#*6;aQns!&3>SQa4^m*olzQWC-T902LBST*|a7#51?aj2ZQ$FN*v zb12j7CI>4W&X#_@u)41r7DXH3#8=%o=fMWjYp)~hh$xrMbSQpfR}GE%53_af>;T+9$nTaV;yc_h z2=S(vX|n$5sMSueAKY5U&~&Y+269@(*gofl#xsHDx;t_8WRIZ{4ugUUB`-1yjoKeu zEfw#+J+Av6+6;tPCesPtzZCjr7Y@yWvbkU0pa{Ktt-+pp|p z&d_JeGPR~)9e^;;-@ak&4KGV91i^wJBOi_E1a=esA?l~I>iNm)pNETq7@j3?0%TJy ztum7Y$a)WvEJ}*qM0#MI8aCk$ABBTI?{Y{O@%O5D~#Br z!~YPA9GSdrb67iK_;4UV9A}gneiKO`ew3_H`oi#=ddXqUniJ8{F0|Qr8sdvPfyo6+_ll60qjNOb+BE+)WV|g zqMCzx4qZ6SZi*oonltcnI*lG-mP>e&ENIn4j&Q+j#d%JA&;gFLKjlX{1ulWHvS z(IW!z^*7$4t4`9yQu9g{Pd*v*D6%w=x?CNdaE#T+-)z)K1KkgzkMG1E-c){D8_{oYtI ziWng3=$O?!!TfRO$FRQjr?1l`nyg6$^aUMhFv-4xWOE@oL`v@e;q{cn_*F-pK zTpdb`*r)dN#tE32kaRH=rf0f^Hvl*n0jkgGMIDtjvR*Msfw=?eY20HQHJs!@KjKwa z8m{_2WO)i~|J$qpXErGeU|F}C*yPgi?#JXwgudj!g5dpduJx-rj42eo9o^z|ha5cn zQLzBxuw6N~xOgfx{a+Hvj?wz~?f33IH2%@-h?;qzyLK_(jQE9UD_dm_Rh)MhFkh?| zId6m+mzF{DR zBz18M2&n8WV{#+TwMUZIS(G)0G@xR8$S-(sw{Bzm_*mC=x0#K+w^g&wvQLh1#Xdx< zIhXc#Uv;jTRhBO4nMMv(rq6-nvmTXidT$5T&+B!&_?pkoQhM?7)^Tst(PMN~u!{2Gf$Z;9@6HCG#nY_=2-dO!`B%h3*MD*)Ea-`LYYRFZv z^unSiw`|#UoV9h(`XDKyzExatzin^;Z0DVB8*?2jjsekI=TqjYG#gbPDF5z|iQsiO zQ4?}}Cd|Loz}s*W%>jT5s2cn^nUhs7WvWG&;&sU<>}E=|=`V|4M>=1_WXzp<2uBXD zM|XptcroK9H`Ad&{Ahix1%rzlPe*_HGtp|aCJ6QI+8amizFFKYrE}`FPBE@AO>ARb zZVd4?J=eNb2S!`v6Fg79{G^zfXMRo#lompY%)odu5DMw*loh>H6^fQ+59FFK2b|7C z)X6D4!lMyniN1ZO)SQNIN4u|vfro>`0Bls4R9rD9rSNxQGaW*%3aH5kWF8Ig#TuK7 zki8CiYg;`UYRbfaluAdH&y%Mfx=pqDq^5FBnA-zY+w3?6n3VgD9@Tq0uJWyHc^AnJ zlX^;D_cJ|&hYQ6T69;QNP!#57)aYK1yc^z1V`0e4Pp!RrwQbYp3N|UAij@etrf`ge zXmKjQ5_rVew2}E1Kh^iU^oy-Cqt@1Xrc82mIeY%RMvnqj-OTICfp$L+>@&Q0O9-}T zgC?mMq$ZZ#+gq%OW<;$0a8Lrn}b!>UU=lTP+VGGOVm6eyTE`2`%Ey_r_ zI-*#zoHbr$`xT%4jq>M>rBEY|s1+@6?MjyR=$<;e;obfDvl_3{I;yOcvaaPA<5h#& zm=9`j`}OOYj~Ddr){rV5g@J7A0c9Cz)AmCc*o*8_fO1&(w*;H7U;WIxBPIOrKSoL# zdqxxAs1o6?`0u?9U2`oU1Avu?F z?A^#LQ9y-8nE(SZ@BuUVzlXjhu>AR zj11!wv-cOq*}LLpaQmf8fU5emLy~{Fb7kNCnRTt6_IXfnb^Qx%b%O>z-9cz$v1rOTV*zXrUu;?}YKJbl`+u+Wx6;iBtB?JGJ;gX0vvzi!&?ev3aLeq9enlp<@!VVp^l zVs?Ihd02GPJ4dn81j)dZPYx(_Ok%g>XP7x|_3F~pa{CtBLiY;eh6)5?6nC3WpxJ_2 zRCg#0H*jYU8q~qh{9$dyN^u#&gp_H|QeY|C8kju-3W)p9j2SNm1>>9wSaurDJKWPR zYC2(?i?^!IdH5D(ZvbOUHwK;G(ZuJM<{nV#V=R71{EmRE*G&^Q85@ba2j+32}c3SBi#_fKSl+}d>I?=I_EUl zDj_ZcP6^AO$g1@(m(|S4>QS13pU*&^7totIz#7w&tZgkO+pb`P16Qdmx)>9)F1S7r z6(ECW1AdTNa~trA*J%zYMp4W?T2jG!Vps+Tpa~(gl0D$&7NIkFV$XKK`5{b@s3dvT z0ZFH}b~+Jjy{cjazl$%-DhFFvuD3eYq*a4k5AHkUWW}7m9TBJjvZ=TG9=A@5*(ua` zh>f)SJY9}!riFkdR`L<-~)}`Z)64xK}GLI%c#S1m*N{qbRrRyk_0neQlPbIr(Vfz~td( zix=mg?ehXH4;9DHe8U)tX_Hyj@S4)+Oq-_mOUZKe zU`oU%&{TE$agz^)SI#euXDIS3X7IWTO&p01G61R*r$*?U7v)mFLBxRHh7 zwKV0p!_N$^QCJedc9-}E<*a#)J9*H5Undj9{`QZ2lXVUNwBk3wPI!FCa6v`2%oQ(Q z#CTbvSV}4w0ZYs#P$vUo;A2{3d7$F#29^#Plj2*v@{YJ>5?a-UG0)TmsXxO9yiPY( z0(z%umzE#oRfIW|>-8BhYTrB%pjEz8T-V&{?ctr}x4vd=WqtA)#I#I2XL%p&U`d8k zBoKnyI4Ab>2zDOU+aeZFHv9-MlfL@-M6LNI%xj+)?A!NcK|2{OKiywhbkP!c{ksYGsVXZJKe-DRT3xc_f^J@szDkis;EU^( zA1(v-xTy89s+i=;4RULB&Z$2h8pN(&)b-I&{T+$}Og}DpIiNG}2PFJ|Bim>#90{f1 zgc$sGOe5Xaj51X@5vpjv9kvR(TlFG$uRV_KQoNtRA7{NUo5TiAerTTyS4{n8V1bJjnNK?gC9~vU~S}QxoRDrX>Bvm^%X%#!Rj{4H)v^=Omr^& zIF*)D5E1W+^76%eEg~RVK|x`MFYx{$Hb1%Ks=VW3MMlz$2`MWYjtd5Xs!W-b`gW5x&Oi?TW$l zMU}WFh_@uHKz=u(wRnl`VLw5;5@Md{ERmR1Lz>-)xj(Z&aWaO}$HcfbmluP)N|^U< zWiMx9N89o7JMz;;f`A}TVGJ#3C1-xXzPTIIo^cCEg@@*w&mcfz`I6YOB3brlOlx{E z=T}McWQ-;ikH{PuE&wSP56bGLsc!{$nLAvxg~!u=ZfMkuCX&3+7A76kA{PDiEqz^|xk{Cjo9v=)I zq7xsz9jS1*2Z%@*nn}Ht&d+a2jh?guQG_reBxB)m$s9H_dcWKnh)J@5XVlXY=m*q|b>bC(8KwgK zX3m7`T#b~9808?PK!*ARwleq!b({#FBsK(oDLxtTWLMm6ZHUOk0zMTI!Wgh4PNy9x zQ7W8f_UqF}lGD4+=*fBkdvSSe@)tfFRN-7b5{KYgQJ0)~C~@YWM|M;vAKbHd6^XG! zHtY;&IBvb(Lxq+)GkQkPIQyr^sreVeD?W{_d9`eLTH343<(ssF>zbchM?XiWzV*0NcDd|!;k@EPws=cuLB^RL zXH)%F-*kvB>&T5jKrxZ0*n;MC)vz3Wy#InFhZWA*#%}>AXlW)Kx-(nQl_JegLKKV5dQGAt{w?W_De|;_Dex5)T^uwZ|xiVec-I z)QQdbmvlgl+L$U7g0Bp#xNvGd&E;KCP*a9th}ss`=hCpZ>QxtM1=hPgT*hvc7mM2f z-St7>K(T{mG)`-P`WX=Uce<=!UIdt^EN1i|7e&|{<72h2vZ9Fdqxxl3E%bCse6U3t z)jW|h{{H)@;XSLeh|LiFG~;Ky-#0?D0V!XCO!4@Wnw0~Ojl9Gl*>Ay$g>zpFzF2)} zg`T}NA}3>IrS^~dtv|QE;cK^y12=4nwxm7$HWxD>@CHHM0e(?NW6!*UsbYNqs)SNQ zIuLtS^2}16YPv1piOQT3UN~rIPBs{hQZ5)@M=AK>S28tcrbzAs1H87OiV6ynmc?8Q zXJyV)7l6^;#=}4HC2TJHoI!lT-VJ98qy###4KC7Dx?m1=D&GJV;U+-k}}Bg0iHV*21*yl zr`?hWl7ucu(Ft3T5e-%DX7dvTQ`gW=!G5Nj3kV9*?)-uq1b!KsUvRsfj5ilIHSk-Uy*+m=H8M7jLhYW~X<9J&aeEdasi1xnh=Hye;-hKGs3o8!) z3d5D29LvbPp>>WPIda3tm&MLEWrotXuZ5KwHcZ0f6!{u*3xF^BR?2wXjTX|`@&P$$ ziCt4kojdcgmFUg+4cN`d5S#W+>OA9G6jPtd-v}B z0k!6Bv605s*wWY{J3S@{T^y*I80CEBruuDbVs|?`W>9^ti1>x)ePY@<)nRK^_z!^S z0FPN_nQ&FflR$S$w|kYlqX_Fc%{S`uE)dfg{*)0oLpfZLZ4b}XRM>s&d#Sl@5I-m& zDNhC(Y+#J0Uz%xmz?3SRIXl@;s8jy{7DD&RWK|A4tP7}sXdT5A+mC`n)*5~+&!0~p z{?aaNj&GU0<2-E`xu%F}67!tff??ujYiul5J%~1aVdtN{@L=Hpo-Q$@r+Juc@N3>R zRVHd@vN{?XobABRE_gTjhh2fjJD19wBmKMOx(`$fVSLvA+`%IQ57oKl zo7(rW`@7x@WFx2B_qbO5C5BA@j;E8;)rg2l=e(8-bcNxA8bM!4|EJwlZ?-bb(0?Uq zG@8(gbluNEX8A@J#ac?_ktInY1+)|xM#{w<*6hdHone6_u9C1JxfGldylxz4c zIrvTgtCtO-OH){*!xSSoBtf$Ab8Ph}NAZ}0$Os{o8T1}12uisKO0wNad2)wQrvpQZ zSgy4_T{WFX2$}KU9~+8q8lVh-v`HCtm5?2%B}m#aLOzrh?w~R-^k!64*6!SCvkdIt z{<96)&lUP_Qo|;qep|qL^pfact~_>N#T5-%4kzWw1ikB>Am;`e#HuLuQP)Be>;g+U@K-|n_G%QBm^X46KYyVGm%31_=+`F792P{sv{n=8v-qDgs4Q^A>FiI*cfGXv+1k6i$ zEyEo$cH;bbGUf@+Ql?WtmO0NYW5$xpZzT}+2st+AeMhd;JNVK0rjgs%>|3Vkg{VD%5qLm zVYI0)e~0MfS>A6K=STm|qjCm2;4vjqv(aRVi4^UGED>gt0-+ro@u6-7XWyoc8@Cug z@jb8D(#}zWDFJ{vYY+%G4MPMgnxf{-$&jr#T!3REM^xgmjvYJ7j8haGfq~mXnqs^u z`x3AkKjVfjhclZW)K^6Ch&i^MsD+7n8U0~bQJXFqf|ao#C2*BC1+?Q|k`7M+k`C-; zoJM$@5|6qslqwe&Asftd%YLy7YhrLK^1I2DDvMIVl+?ZLv%ucBO1 z$I}7e-sp37@2#p&7dS`J{@&q?zE?=^A{Y?(&x*7gejI(<4=oGdsMh&~U{b?|6yG_t zUghfm&yvLcl{woG-4wT1R#LsJ_FTC(;Er~+>CKh9*0w~+;#l_SPizE*Z3*zlbu8w) zh*?osj^a#zxs0i?Tw%q<{w!tk>sD*;Ps$V4c&F2RV5F-wFwMAXou(coZw-WIERPc& z`h}O0K>C{0Jq}OfPdDgsB+&`+;DLgvIr*I?9J*`zB(N@V-OsW9)lJMMy58OQ%lS~c zDn?Fp^q_`}mDGvzH>>zNa4f6T`WJf^9tCY3cnbN$@_D%qvPIYXp!y5g|OVduCl< z?5A425}B{qf>l>KSJLGA^Kr-Hw+AMS zs3^(f7uzvk|hl~Bkd9K=7YNT=AQlqEj-XJ>WQ2jaIrEFD^ejRJ&RkV3K(i!+#rCdE!{rbkKTUw?1NA_AzEjw)}hC zm^CZ>cIR(t8V0L7Tcaph>yM~K#pw+^HKsVG_3ZX>BA_mQrj!_R++c>pc}Zc&O(U+K zloxitSXg4{BGw?%x3hkPyNAS;%0&)onN@&>C53vI^^tc)q=qEyfUkph;H@t3)LHG&xk=ayWe5{|Q`d72GNF~nIHvO@!@=(A& zYyLd3T&00cPW}KOHcZ32Qd8J0iHRq8ZSxu*dc1{Jf*GU~Ak+|2-oY%&j@(lol~prI zp)z#ZIqnDJ%@HW_LQ^T686b$$9Ng9uPuSNaucqh>XwUg7=5oN4G^3>7-G_c4A~{f< zoXZ}JLTIqi9qvIL$GL&}Pu!z8IjHWbe%fUe(}PhJpgR1HOUl$KQ=XbNZ%Gj$_FtIG zj)q7F6ix~S9%lTQ#e14 z15mjNVJn; z8dgo(~t> zTwe){vY@(a-J7;Kku9&9c5UNpbQxjGval+(vVAu|%K`&!uFj(0($>}81)#wZRppoU zIweK3_g0p7+fWcOpbY&!!@DIowpUmM2EieBb#klaW;WNuhc$W-A5u;j5i`?P7%Y$> z@nJ2y3rd>JJi|SC<-SVKjUbp(O9BeP#ZgZ6P(n3%E>{;28!B+4p9tX@H9C4^3zC{!{jfkCr|Gk$Z7WVVV_WW z!`|NyWibvvpl9=&SnR=${&{TvGEs@C4PGJEysWFMVA+{&xvl3zgr|%61H_WDS17U_ zQ!5ymUU<2k70nroyC$78hU341MF?${^MNvitEVgDk-OfAD7IzK05m5ym(fINKogFC z9_&yPpxC}0lMExz4N#SMRd@Gm3p15XaAC>U60lv(iR%keb5h9g}!cRdc-ILG;> z_@2ibNz274#qq>Ri~iyY_G+N+=dYWo3&P0iY$oTekQ7Gsq+XL!hlnSPlZNUm<~< zw795uPk0~#5ny);1_7KdUXLoU5`!C`S|&BJWORgL?cU=+%KH8E^GWK+lcgWwQs(xG3Y!|V-sC-oVDIoCsU?g zx)PB?dX+WM zU1QMgl&}oiNz$U20?op&g*5ap?Lxym^ww_U;(NCNJ{Ob+9up-oB-A+00q~rqOFtU^ zed~|V)bAX!)3EFXJHtp+DrM?8@E1W=0q<-NEjQ>a2o|L{lx*yoOAiFStmhUUcio|P zre$4GhKhqhYMbuueBWy4Ip6%)8fqd1RC~Xvu6*v=wxCgo4LJ`!g45i$FE=CyRIg6T@Qkd7@hFuYSf;z zh3wvv9-O-k^(27Oq82VY<(w>fZkdn5vinC{*#y=V$su%QkDtafnISNK5xN8w z+e0h|?{SlP=$GeC$+{FiiF*-5tG!=Q@4)kki9dB3F3>qc+vzj9ikBsNdsaVN=Fzt3;HDZUKs|w zD&Z?nh%QEAsN&xT6PQ)7`5{tLwoHsk>=UKcWm9h*ojVyB(Y_mryI~sa)!y93u$;l_ zDO96$O8U+1sr{Ha$V=p(Q<}HuMG>+}!w=$*VnherjB_fY z_a>YI>_Xi|y9hAeGjiliOUpgGcc(1zePer7&Tr~4@OH`v)bHbkDXkw&wGFeIYFN1a zVp$-{7tbF9n0qtxb9!pAIL=kloCtoDz;axnWiW)~waUe&xMm)H4l6-M#P$<)O+$cq zIQK}^;x~7WZo6;^eH%k?dKdMkVQ?GR(k#%N-9Kn(uoJE7^20z?0SBGE0RejNC$`#F z+>+=k}s?_jW$-8*eHbA7VOo%oxb$qsYmqyv2&bPYHgd>MIwm*_ZF|)!DNcGj-eh zfCIZ53AJ1ZzXUZww|t8o?H3=eSbVZ{Wb83Z7zWhXQUmf1Xs}n_sorcC%;(`?nKpB# zFSr@TLt{|eozE>z;5FkN!4Z4H+)sItSaULWkFvSeT{FduF$gy#6c*IAqq|y;yLbi; zsDgqdAc3}1M#rzn7lB(?7$Y3mX1|Qv7;Y&~wq(aUA!S=JIPFT-rKm}gMk-j}r|y*Z zY#xP(PVU3>&c3G!e-G?Zwr_T3wjsLJ#glhL~ctC@3( z9U%)(MZ1P=DwdkH7zz8s&*QV+i@~3<^}2O+CI|DkJcNZI2r`9+!-lE-vXk*hZ`Zc%NUCHi8Vc0w$fKAEivZ_B=xy0~ z5Tjr*KT@A?mWdn?X*bkF@82(!)N+WLa>XEE;Lp*&EtF&a+?;6YUO@urNQN!5!nKh` z5}VZ)0La z~3i{+jKD+!LWsH4;!LhA0y*ej)%0!A4uoR1vLaC04j5g zpuFx>lSLIlo;SN6uJZJs^O{fU+vS2G=Oly;zzb0U?b5OdGQfQTTdmR|&izZ0?~V8# z$Db}t9Tt`7)zj1CPv|hY+hp%HKK%f%h#Et_hoF6w5HuXJLt}m>#VFMqGM$1%&1a)H z!x?HALyla$D*091A&d(sFkn-so2e@_;e_CO-+OHgc$&w)#?O*4`pKB{fN)SIQtrzI z2-;2IqTlLhy-(K8ace%~4r8S&&a;rk6<=$2XxW6I?fC9 z8kkXXBJ>{xocU08!h2JJQMAm11`XQ1fBzQjTUiu5OlS#!6Or%CgJG;g141U<78R<$ zzyHUbQk+Q|Fn03XQWg&bn3pEyDVP0;PK_@@EefQ<_T%yNBwHF5CU1ECfv#Q=#XUcE z|2IKZtSSIxxzmAg?O-4pE4R$trSN9LcL2o`KTd2(4R$+n?AWoi0S!kwaHWbD&~?2v z(zgJyi#KPUd;T+oVT3m_Fmv=8(H}sR_ue2?ty*?6rZ~*50EBo<`OttuR5y)>=Hy-D zE*{r-J&J)e>kbG`;2-u*ytQ|RUC$QDsmsDpuphu7<2$pa&b(KR+ON%6een%-^!3bE zeg_6Gb@z%H)-`Undx&3T@JsK!z?q}pQT-)RkrB_jN%c+^Dj!zeG0O`-s1c$5yU1{#>p(3%s989Q?W^Y5Lnj^?+JSCCfO=i z8>B>V4xX^vxTbFOS&;f3E~u-T$x(0zjx-&X4aOZ5qNtzVe28kk!Mu7$6KzfX8C!bS zMQU%Mh$id0GjW#!fl&2u)-EB3byMdTfRq#X9F&Iiuxxa9)$0|-S^d@5Zlw=_I$(pw z_ISnlU)B*E^H71k=O*l^SrSr_&ITQ$Xx0!uT4<<4%m9U|;9QTSu%eh2-{$DJ9$RYz zg#xaEJyohz=iUiRClmE&uJmsRau7rn0C@U@6_n+9&<&6r~=@m9Mys@McbS$KE- zqf3w8r`d((-OUXPA2W`%{4xoWNv=i792>@>3=5I z{Z!-(mU#o56167-9p_~}Ix;MX{UH#_T{U~1p_7V#JceDvMI}TWRRy3}0Nu5C*}HEJ zE!Z_G1S`p>xc>9}AjOMMS(h%4KuXu*Eik6I|HyS!rOzkJFqF2KvO(^h8!HZee3M3f z7h>kC)2|nx67Cr<7B(cG%1W2or1G{|*~0d_{vdp^ zWJp|zj-sN!`Wu(VK#|awZJ&sf z{^Kd+EkB=|(58DGg+FguERco2gB|*$Qd=Odc6Ke>Y{r^~Jtb1^X6kP1S*SalS~t0g zBg;J_tvDm)h1R%cQhVMdGxd3JZTtTHLx-)IR1|7l)ZIPVZUWNC| ztg2%3n)v~etx@E>HZ&ax~{J7b+4jA zTQAzBGW>Z|QcY&fd-LycQ}Wks3-z^*=<=~RIxR2l={);|A0@lyRd~#xM^n5DGZLF& zk}+>@n?*+q(!ocpdX+vlRovwFJ;ZTfmGwHiDSA2?XLr`VG601Ixg?EJG{63Z)pOG- zPUC!WZpPh7k@{9%@66ptx>lui`7|%zF>9iZN4JcBVs3g|DSIF8)BOl-s~vBJ+X2Q{ zK}9i_g)Dyl8o4R;k5YWbQfRWX;47+~UKPv@4Wj`TurRHiK19MX#psxU6T-Wigiku3 zb-&O{V8`SgiY>)LZl~iahd0N&9-)I_pK!>XdgMe~CVy>`#8mVW5Jca@S&}}V=(Ba5 ziyy8t@4o48ewP9Rb!vVLd5bHGL1EIlp~4NKzaH66#Mx2zW#AtqWNy0dT?;!9Y|NZ^$hykcD|M#!|zlUX>eryed z1wa_{s;mF^L;mysg*VY!JY|(p7jdY6R@nb)$qv71r~MoxN)pS_G!w5}g>u=c%;t|dGdXi6sb9ctI$noRSrFRm` z7n$26CK~$Cs6n_8#R9^a28<7IRD$>0V}V!MOT#(kM|O3aEcGDgjPDEke<|j-Dsy&Z zIj^jjx)zN_4knBiBw=;tNz3R}Q5lJ)oyP9}e$7$xHQ~*bAO;ThB(PrV+oLp};+&{n zV{lVV}5=IldE36d&eyt z04l^dY6+(V5MNsjjo`U+5@SVf0$~RRIBglDM8g^j5*P2;5<3x%8UULxIT9f%eLr-$ zkyXVV;g#TwRQg_(GX{RVCvc=>xq+oZolqqkFyW!~W5zKH{Srt|P8Q7u;Dca9kPM#E0+^rpSKq0R zVv2F5WKuGUytmYVQ4!PvsGL_B?BH-iZM!bGYS7@p&KSvnuP_{oD_E_J&Wt`Ehd2+& zJ4#tjdi0Pm)!*|k#dN{>CT*|gph1BM*zpXCqn+D9NDXx@tfkwDHBdqU>|{VHiXtgs zCr=hKDeaFpkrcU%nJs#%S+c}oG$6$g=YGj%q}i-_RY>hBIT&z16Oxh&)%quc^Wkg} zYYi%VggaCwY$VQ<`UQDuKlkvx<{DL|y-J3*(e7niJ(^i_T?g!yf9}jtTObPKTFf!xA$GBtBUgUvtEu0f-6&S@YyeghGs#NvmRYv! z)1}LAyZWOpL>-H(m*}ck=Hxjnq5+fc5{*OY%@0v;)w6E+zO_D7X%=mNGAXdK$fiD4 zTpAXw8{VD9X>@6EdzXo^>M!ZG_qV;l>Hl=)y%1m{B3}_VL7JfmXPhlA#@Ii}Lp$)|$6bK3L6g*~>{S2eq?i%Yo-CTjNOztGCMk>vZ z_LuZUFj*jKWVbYWfYWBT7fjI!$N2`3l>xup&rrjxvn!MoS~91Ef3$^vhJ+>BjJk4% zx-B4m%(FS{h_Zo&(n@jo*9P>e5I02zp&jhG5wRB7AiP|-Dm3#UY%>rL{yZpRT}(U= z;F;lzY!GxD=v1t~_>vXp7ErGJ3dn6mH_sgmdzOR9iPwWd$BlD1Bb9Z72G&a{aR%0N4Wqd#L|aNYWY29DhQGusM&R`Hf%z>mKcW|I3EAt9{xIM{m%J9LkE1eh4+@_{sx~PZY~Jvj(3;eZgDV|Uw+f-ex9p+=HbjQ zuTVuVvAFPZ8G4ejw^q>pKn;wJ?h4?Gwrx$6SA5cs$mS3KLlUq0nXzKh){H~`^6en5c=TNz`ht8?dFDwb4T5h(JnWJLG*~x_G{Fv^hVarj>?Gj} zziz-uy3ZY&`*{h(t9Cy>e4+U#px>s0&K`WccoNAoF#9R5K9z)neO%YK(^_ypX8jT$ z4I7ID)mKTW-{Fgudu4}PfDy7x1WsO89lp*E*)~NKs46FK4u3AaFQ14()i2CbN;g8=F~1&- z$@TLB;+@FCIKp(>`%>6O*SFxN_Z~29CxIpjYCpqaerQ8_0f0mjGm*LR4#aws5k^oF zgDd!l-?o^yVXy#l1wai!4pfKf0!h1_SvC9+!rn1&K8mk?BUrd2@WA4-$6l6umn8%Y z!Tweqv~1s5)nQ%QP^zLEKTR(TxoX0xPuG)9*GogOB-nuWJj;5^qA3Ga6_Bw%CwCoy zhfTpu^4;miXEE{wIuJb5_wGn865_puX(TNyJPJ7oiCCke1vmcL3e#kaUabzd2P1!b z_xU8D& zE3X?-h~djruptB_{1U8VH__l%JI+gA>+%&aM5mv?6q>#ajP9c4h1XBwT-#=@ z?RN0_ks@UETS&F$T48QR%;PwaR9acvE?2Q?D6cH1m!gf{Hz9BRw;66TV-H=SLV`QM zvIh$TMx67x54udY9)uG}O8fz6k2?)o)*cSQSW~$fmxXa#Z)6jgn5ZM>lSuY27p9#P z?SJ$NkMOzct_?)W0kr_bn&^M{t_)$h(L@VxrAutLKNA)wt5)BAv){}iuk@F>6KyZV z4OE$^Yq_|1oPuV()wUmNr8_hD$2IuLye0^#va1?59@p|>{7T7sm6_a`=P()`a<)3S z1l}Gfsn0HjmcU>FAcM@pbd|U;2tnr7*47%E=2Vo^K&hpaE4`XV(I*C72Aw6WoA1ML z22SdU6Lxo8t{&S#@k)NXIRpdlAWi`$>G9Q&!x_o$>^$gv;ub6hhf)7DdN}&b^C2gP zyg<{8hY;Yqh~{tJWLV21tk>Syu|*1rxqR3BBrwx#Z?dO30KoH_BY?{YRA-@7T+`WPBKQd^jl zGOadz;l!)D7bdh_yM&!>Q++ugc#2>}3~4coLZOH@vS7Lj)erlX8D}oWK{=uzW5~|| z4@D_{$sN;4bA%aP=s_(o_1`#ClPWc<)ZISrREZ7C!fP`po-G`AF~y-tqY1xrTGfVm zdVft&mANweT=i&HZ+-19`yKQe>PNjj!y|l17#A40v8wn z>smz1)v7Nbuuw&^#W2b0UY~BtPEMw@Ud59dA_jN3!vU|u{*%~NYA0$;)Wuz3!Qn>c zZ(Obl$NO`|A!^%eI33Zom{Tm^V1SI{Yka%OnDZp^yMCZBM%j}iy1j;O{Mq6TNHUW6 z6mrBij1iWB~ldT@r4tEUqA0}tQtwd5v17?Xt|8t81hd7zht+_t$`YYR_q`NfUuNnZWLR4O+*ky zAtJUjunG`5lMD>kJ3-jml&DlAFvzZ96-cw#Up;It|4!T_iCi^0@?>Npo*eHP2n_%E z_3p(vVQ2>vxF01{13Cx%8_Go3u@cTe=T>KfFNIgf;@>u2t>+)YH{)7E#Dz9l3?1I# zlPmFS#t9A>0mHwbI2OD3qgX+4{>MAj!t~t5orzu_K91^)`K@0i6AHlLCq1eR-xzp^ zkHZz~4Mv%bCxoL`7#g z1T*AdoV}ecX3Ei)E2Zd04FVgq8CM(3F=nc3*)g*tSD%Ye5-9?lLNvybv$e0+bT|G} zCsS`v|43oWQEelPfiNS?BL)~M3{9OHmSeXhsqegLE@Tmdq!$!s*w;LGA~u=mrGWLN z$ZsI^F*8f19xy$&liULjKolHw*}PcYCmOJ~@bHs+(4uFqph>fFX69$w&b9pWPYk(T z5<3%!JJ$cl0LbDZ2o0Mf;Tp2O*yrlI^_nZAp!pRWh7AOb;*Yk*mZix%Iio4QH~?yC zO@pxFl}69PVj;UyJ`r-Hve%!t9Q{J>qsQ|vnkz}0T?2R;zvQo6b}JW3UO;UU^wyy?*K{_3O|_O3|1SFgQv8z)o=7B zO!Xy5U5L_Cwfm5X!?KNK=qd}1;shl#`jOp)m|~(JhaYed@}nypQPd$^Ab^09|7&?^ z&OJu*)wg136Q~S1hoFp8uy6s-4=rwIP6dD+!2{~8N32~cjkvTlQMfU`n9O~-g#ZZR zq4^W`a2&%&Vg#;Y*6k8Ikg*KcM`m$(J=U1|F??gg*2^HLWH(E$S%2F9kXwF5^3xeF z<56z)>Dlf6I?cK&{mJWlTKBqD8&`NS()Fd`g72Evc(@t>IQCD z+Az->acbIC^a0r#Ex(X0B(DFG9kh#a6Rkt+vM^PgsV0ld@|`a#lv({XAMkw0kOnm0Pd@r!>w3QIZ)0!si`v! zwum_4t0&Y+a0;!?FIc-gKTXKcbO(?SU<=0PIbX%L00>qv6KFJ8qnAc4A23TI?!=jo zQ;4-|D#@q_)~;V~Cu5u_!LpbP**5EFslD*wusacx|=jUY>tTfZIBHELlEK!`+o z@u#67);izLS2WI2+Z1~TV`nj4l|Ku4;u)T?1d%}1Rm*hMI+$Cp^vuWHLVzj`%~?NB zQzc3qGO*Szv?*e2FCGnCo=X=N)qKfFPv;aCC!0nOp9^E-3Ki_6qr-8k=_@Wk)Ng#5 ztu=Q@iNG%dsa8wbrP4k|BD3onQM9tp3kgbsc=!z^o)o|ms*YZQM(GSoQqpzc`gnu# zQyC<|auDLEgR1EX(>HGb1I5S+^-6qUEhZ3qu>+!^cKZ^R3U^WBeQCZ_;1}RCrSj{mTt;wc!hq?2dv`eD408t%3z7eXSgrk;32~~%+3P9z0;ew8)W=U%sc96Y2 z=se`J9#3}AiEyb;pEoZf@kGI)5rmQcGO3qA2m>7$O?x?B2BIi&iUuJOiDy$QmAj_5 z94}e+5pDp>NsL-jV`>9M@xWm$dL$~M*U=u~OQ)!vw@7D~1>`>!*o;9uWQg8R7U3JN zE5d9920xqDV`bu*D5<(=wM5qhQDIX3_m-r{c=m>?6~yl*a{FZ}jqBesh2NVULQ{<>3|V-Gz&Bwz8%8fjD`)hn=pI z$H4vHj}azsZQTG_T{8_&q1wg&=y~`iHwMlz-ggAvWaEnIw_L_lSloI;0y~j*M#m>E zBtg3KC~6x)K7$@KgxxM4fz(}MZS{TUszJa4^rCWG;0>i&TehIC0~k`tqg9twlYX_Q z%%u@<_7;Q~fOWHvPwRm#IeH}t_7oNl+-QFTjKOO{eWfVmAu+U|;}Ms3#!V#-AaJ}P zq`|nn-NP7uM(={+;+UczE4wGG@;7N~H9h18W~TF#*B#kW=hQam*!P>0UVXTz?fR$g zrmB(p4I4Jie!VE^pVXu4{DxgGx^?r88EYT!Q8j9p&qL9Zy0U?42-4=y>1 zqj5*Xz#Nkwi;5Nl`wBjai2{R@QC?ogzi8r!0>;(PH#U&7K-0 zw5g!u!`6I0cI}!oCXvXvn3&zcH3<7^+%Uk*DAX0Nzu8%z41?ejps;bRleInL1p!oa zb=y#^arT4Z+y0yG#Ro(p@&_Ul62QAaFXaI&CQ6e-2(g*Vd2$nRLde@v6P5P%ExspR zz_7$75%riOgCuy?VjIDWY+7p*>*jK7E%U~iGgGgq?S|O~LLH2w6>c%am|=e1u+~{>;vq>y z%bOKMolTuJYiowR%DgdsFk?fgi)fW!+mM9JyWDpNhq`>)+VIO*k5q6tGcz;WG#}T= zU#nHB7PEE0wBVKy3M~0E)%5Uu5w*Wt!6meTUMG^S>u>nhG!-}jS3X&w_-pcobJ9D* zm>;b_oZ=9BpfY9Z`MjN#_p+@0vQY{nG$&bGTJB%^ zCW8BxhaQ@iaADCdrRo%_u;7}{OYUA=0YWBb)A0jj`9RI$)|+(s_{{R?J4?T$u!z67}r?_GsJc72V(bb^XoZ_t+oi(Hax9dj->X?g-EpVL<^IN>0eskfvO? zlldM8tUpkNr2e^O(%{BF!ku!>&m=c%+H^~_S_97KzH@KvWWBRI=pAiXr(K4vv8cD2 z^~GKJxc2&3Yo&QLycLcdp8MR++Sfuu{Y9`&NA)~46@OY4F3%6h9{@rGa%Yfo&t>Kn zca4<`6oOK7`8V)qM?&4>`$;cP=&duiNjpfs>?7)jPB#Yo_iVRvaN2>?rx#MyS<{x5 zVhLos*3QfRR#FotNY>QaIVNEMqP#FnY6yk)9EoWQ)xX$xgs=QOe_KhO`IAjElVkZ~ z2*uT|wdB@?^D?|qCc)(50+EoAV3UViG_4)r-0`z#n*+|lE#i-2V{*7an>umS>9YCO znHj06+D*$VAVoVmI*z{EB%;fxzd)IPa;tuzsFq%QQIeQZBFB)3Vd@YnRmv|>1i|@B z#U>>;H+K`=6wQpF7uZ}0(`i;;oXo(R?ipWVV8K~B?Ht?|!xuM7(oalopE~1glcr6T z^VIHL-D4NfV&RA}>zZ_!vA$2;xDk%o&X4|a8Te|OZphvyXy_=$oBf!xVN`W>^5h6`uLkp_{i+(uV7(3WFItI{dfk9BIq8Fgvd3|H0 z8nzKlb^AonLu@%{*R)9!Sg{`SrZ2i_Rn)!}*^yi8I$qpL)4qlGElH?ijH9h9NvPaD zl!gP32e!NO^r;dygi_JckTD3mcQ;B&ZRyF{DA>)sXdCoh^jpyQIZa^nZ3IrnPv}V1 z5Nx_F+O&m-ZDH|9&*$%_^L<7+nUy$+oe*6Z9S)!ZhY;IF@O{CMfgQFdqnT1HYz1@$ zP(^Ub>MAQd(J^g3bm-85$CJO7bsUh`viQ);WgQAhD>1jVJ^nH0z~RGvxf6a#f0XQ& zWN^IRZNGJt25Eh54gHvLTzEM&|26_+uDo}salsCFuV9Fh9?tdMK<)*{ALhs-{Xri< zfW`vz3%xHY3UD%kXTVj&SW=7&2t$pD3I69F1FTjb?6NWl*;wd~pXqKI6 zW2v0c4g9XJ-}YC$HtblLL^Gt8UzIiP81{!}mAid65o!$;zCq3!EaqKhkZ zNyg=S;|7c!p_klrNq9cxz?lK87D4m5f+odyEXqpzQm+4C`n#LhU-I)s=WwZ+Pucwy zgLiysjqDPWo#9)lU2*?BYU9GalgKn~!-j_3?;@NDDSB9f3wg)Hem#2RsWr3c_*S|C z`9b73+C30?bZ~HS`;!ZZ10Wifc_CLc;PcC6i>$4))dEeYa)@FqR9rgS)zuK)#oeQ= z+qCJJ+b%Y*qIduPlPApYhI98=R&a7v=N zgJDc!u;IneSBrM|pu4`wc-|k|`*t&t{xd9zuVLeMS(D;nd{AdriN8zhXy-2oW4NsO zkIbi(?T-1C-7M)lb3{b<@5Cx_mvR5n8Hw=+z+MjIbiUEn`C8ao8!PTIAdD#R`R%Vz zk(fyFTp@2BzjVouHc?0mfn?QCJdm_=1g_QIef#2NczWql56mn87YI*qNj92U+ynkR zBY<=m*BqgHm>@C~h1o)d-7aj7*W&{l;VFX$Z3Kh|^+$!spkp^c1=1=^5n3Y;M(aGa(>;ncJlQ(EAgJe_QJXzFgCuO{mB?#+B-+hs?BqZHz2TUzFqIxpxNfdL!UX6RqF zZi|L(o*T+x0`2W^M+Z&4uqpd9?D6eEJsdx#?4g+hnB~?^jhL+pLPsIPM#aIHw|@NU z)v32Sb!mYVmH|*B)A_ppiUs#Uo{c|quF8<0pFMeA~a zOaa8%+%F8EMWWKJTLW--PMx)&h$4>W7@KrxBvn0KY4*af=0-QyKd1+W(d_5+WZ+>x z>qv62seepN-Pz*3>*t4R1Fli&rg*J@3oOan-19qH4w6N!r6s;UV!p+%VMdO71?B>$ zIeD10rSJ`)6S2yVzkDrLEX1G8I~m&LOiJb;8oREp=^N&Us`&5}h5$L+dN!hJMcOL% zfIJ3zfGLPGsaW|_`SAr?@;5qG8@#Gm+5PjHD7RLt@;tmReV!5LskCsfmG0P6({MW) zdw7-M@1d7{j+MF?b;+sN9EsF=rQvs3*0bT}2~p>^N#)U<*b;b|KRAH=Yf zZMI*#P(9|rg7E2wAo8mp6k!$YM9!Sif9!tpC5ImS<}=S3$$bvkm{Yxb`s2Z5k?O!T66a#DN~XHpH7~d7 zzsSD!^BXdee&5)zn52nKx|qjMIKzbLzqt2h2G*xe-7Dxz5uoetMGsMeg%Easf6!U5 z)w%zlk<&{To)8S9 zdt)pe2SyHk<*%gR$rl&chnU3R%*^>FKi;>?^sZi+t?|k^F6mdyDNex>b1GhOhXNfN z;2L9l+6+b=-cG7+x36F4ir#PYrKqrw5q)g0`3Xx)D=J_HN-_ux%UfULTG>W5alJ%S zu)O7wt8gV5DK27QAe1nE=?=@@^fxf28gn_ZZ>n<+OZb_Brz#J&IELCB38mX99d-6pCz>dPFz z&v1(FmEX8=Lu~oIyrTDZcsMI_5L0X22Iz>jVRC>Ome6O)dlgrfv@g*3fNc|)v6DNO zPgse>aRC;I7{qLq&B-CX`re3(8vge+d=7}*!G(c{&-ssrzrtD7%d19jNVof48D>L7 z;KG*Uzp${deZWk>s-3gv&l7JWA>ruifp!y$441V){xJNa!jVZIR`2jE zbJ+Fg(T58MlneoGrYNKK%u#JcjA=CV*-0)Od|fSr3N?3z0xmPnU>l|koqhl5U}dcb zPx^rMQA6c&cfDv6>+^b9Sf=BO=Dv3|doK$8S(i3QYcbo8VFeQ@PJi@(ar}xYw={3&A2i>%mpS@DfmAgGg`=W82x8ucRKi8YT zF_IGs0v1wGlElLUkrNy8I*S(3)Dh#N0I4Z~N|*wS{}Mf$Hv=rq2dd*eEl_Rko1c+$%MOkG!_A~))dF-HCj zLJSzA87a`;WB>U%{pMcwCVPoVC~TE)Sm8ky|M))6K5WjtDMNW{99l%`N*pM@I$Tm{ zA7ZYqE|^AKLhG=V!z(_vyLYyr4Ho7sN1LKoH?P#zt<&k=Euvx&AKi8Na<{#*aAiCo zkP!Ws^jPGX4qLMmcawu8u`q8Z#w$0bwlF5XQ9j}pF<9jaZ6ByI%Ka^J>Dr$kOrJA1 zT)OnEYUP}-d0#$$6zS&Cqw4Svc>srvJ=V@Kq_s)tGxZ@o|7 z|58{eGDpU)+v+1tWi`aqRmU(TI>X_>ncgh&plY(hvUS6I^%(Uic%;!CQpjs5~HM!;s(mgypkVBk`j`n)( z@NcRqWr^sYkaiw_;Ke`i5v21J_*o9C71;9Owz-e_38NOBzI|6$MPI$OoL~uX3-MQn zVFE)O1T1CaLkN_p=aXbhNjj2y$RiEMISEHK?)}+sE-~sxzXl>#vvWlp5RT;_Xi)kx7Kx-%o1hz@8Hm%GEhv# zXyH?ajvQq>faX;|k}>BT58MpYzg?@%14^cNe#5khw<+cJv}w*ib`Eg89HAKVcS#pT zPCTT53B*#~=kH>fLi>NK{O$7LKi==ndAIr^7s?`ogGR5U_RweKK@o7+yFYo0@GRHs zn;K^3wG<6g_7c2PN0vs z1gyo80`EWct42*;s`cUF!5gAZN@653a9LAR>?FK@=4!}LM^L5@!RvJYKCg`+mE;MV zS8X7FFhE1UexJp<3obQ?*rbwuoVyez;tI$dQ5odgwC1^~_?{vf#w}Wkik!wRQAUD^ z+O7!UmKbPY5Ra$AJ%RtHYN=BQupRC>14eOA%Kj9yMiB2^=Yqv;{LKm`{JxlM8chV@ z7>{rTw1%jZXg%*YMiQTnW-Lrv|{@7 z>Ao526l>%*Pr@pMQyBV8JN^M84je(De=zI;s4edPBB<}|IIf2Abep(Q-YQ8@`$_&7 zl#4|#T=D;KqSeCkuwP`r&@OFgVT4ez^mk9VDRdj`DqDppUXvcQx$Hxan=~A$2}U~{ z?ui@POEmssQ3=^1|8K9Z$Wa-k$^p%+uI)feEY1UA$!#w_W&NZ}+qSV-3r?nVfDZ?$ zSbPh1n&)nvt(Dk2^s+BO--M0X@jp2)xfi)?n9Gp^x#C6f0>5J?JzCM({Q!g(zSlcqFx&&g;$TQEK0AG)~<+Ko^oI0$OC}y>fx^0wt zjS~1OxFK!`NRC8z%A{03d=O(wNimRHIoGt-tV8CiK zFbZ3rq%uT=)|zj`Xh3G7!fQNtPJlfIUxznT`>4!UYPUUImn#u87JM*QjtdNuz{u&_ z516j29X)gMs8QXyexYfs=L%-Zy|&;~kPzt)3|ZAPhO~3-NcZr5l=Z{E-Pe+6SgE{i zmu|&qMG6P5O6o+}pm<*l_Ii`#}*k zs{S=eZbzE=th&Q^dWiG;<}p<-L#5VBPIh>av>d@T_W00X6JEXy1aZ@LeO7oxz}z7b zj8Sp9J~Apsyr@$j6%k&ds;YX7NeD9x8dj83wmC6EJpE}8SBvdngtnvuBgV_Bdx>H? z!utTt<2>TDxGn&CtA(^K9H+8=m}42f7;J4t2^D*w>tk4xvr=Pr8Ra;-xExvCqWNXm z7L?6xxb|4RpfZb>E*)nuw*9pie;IVnPY(7cvneEG9b8skdbLZJMO?zfiHkazL<%2lP` zKBj$H166`ZDkvsSB^8|T7{k#XnAUwa-Lb7L=xb$)zxQZH?dw0zfy-y1uXaM|=atCF zIggfhQda)G+-~ATzyHRT0$pQlKk7uv)7P)JPB?{YyimL$;*Cyy0(lyia0`f#Lx;N0 zXmb1x&;|ogqDwsw)JKp4;rPA|ymBE~3?0VkBIq%Jj}1>I90cKPDU?U>HsDj3#IBIWV<5`-4~M~OGY+JQDm zPN#P5?gGKxd+{jMZ0nzcaO{OuA?`{8XE!AwV&6W4zUGINRBMo@{6B2H2VBql`~Pnp zGK-94B#{v%tB_TZnVnEl;W$RhDv3HsI?5({WS>-ai;|X=B!o&!NGeHb_rG8D`TT#s z@Avj|`+dH*-{%~kdcR-edS2IKT_Sa-`R5puH*(>fNz?4?@9q%+f6VIB#J>R*;wKn> z*>O5KA!zYjT_dh;AQ^uNtONfJJ0PS12?edWy#ni>I}J;ybmUYzaU}2Oqhmn^phQ2r ze*NvQFB~3BKgA41Z4&JbX*8e`fysLUROZJTB(A(GQ!VH!kE*QsLYqGAKGHFd`1KbO z^_OqnIFP=Px18e-O^ELCX}+&!D71Io7-2EvGw(S-KeIQQTK%nKh}l6^Bw!?F1(D;C zb51JC%DamlwY@ZF{6VKaVe@P5WK<^Mmd4lX7L`uUhvCy{D_g_}$wAI%_Y5I00HxTNOS|ILsE)k>-Wa_bQzrK|ina{z2Z(THm zbDE}+PZ!nRA$jV^qBVm$mOD~sMm`x^zo--;6pi*jh12Up-qV+t^zIcfb>__dPUmIS zaZ&M;ZBb+1w|!elFFTW29r74qR@RB$(Vu@|BSPzF@#oq%PRRag^u<`jG|o6ggsDoD z7Omj(=g;#B_5|Kd4qI4bS$@1np@e^NHM!-=nUy7(%h($LJ#tQLA;Y0x z!6j(S(+AJxmQ>`yDZLfAm0J9tWuv^gO#2eNZF|nrPlw7ZaWo9K0x^8KLSsd(j2W{L z@jJ#*$OMLnkPW%**amgo2f~@vkmL-|gY#4qjVvF4!d3U^dFj0xe*R4MU6Zp4OEay{ z@BQmqu0hG`IRh+?4Xe=8>{nUZ?IC7}zmg923GE-`MW|VL)z7K?Hi(WYQ=R^_t*6Fp zRmFOX~Fo4I73w9sxA4eCztuiH@b(iso{^j7%2k&w;?Z=vy zXN(aNe@lFyT1ThFS*}exX%FS!&cb;)!nyigyUT2o))E0sKTfej16=G5p6sURSu{a) z-;)^kmfr2#cg-mXyHvFQnEAyaFWw!$zIE8pp~k35BvxD^K_kjybnN=)9*_PsVT${@ zE^T?+i7(bmd{bt|NnJKE;AXqs{hkGO_-+>5S?PLyuh_jA%lii}-@9|qo;}B6=1yuy z+s-k*gw_o9bMWK*Jh4Eet{FnTE)_S}Kza!hU2dZA;cO*?$} zuXJnK?npKbV!|TH!l#AuDsu#}M|sFzq3^pF^y(epz28C>HX`8mDtj(@BfrCckA`{K z%p5_ahH*XL0pTl~gwW-8PfT+%P_j8bnxY74;S~KRi8S#TnFlmAIa0qNRQ_-9h@E9G zWSIh^eN|v>NyNoZlhYmQKTs>AO+bB;2X9gp#tPGAtT4R^_(@UC5t%aGmBtw9+QyA@ zJ9c@I!iQ_gdB9w_$VSGPf*f~W-!bK8kENPh86_7WE#93V7P5XHKgJ`F<2ex{WuFn7 z*B#HCnmR^d{Cz7@D0#N~gbImT_b1tS(vMQ&v3bZ@h(PqtdSAcZ4lNJJ;>&d1*DLRS z8oXtXq{KKmwR*OFWIHM?vPH{c*0eXuX7_q#d6=T~o&MjC-#Aj!4!~lo`*TkhREAwa!8PWIAqy zFE8d1Vf@d+ftS3_uDDoq%wkfuZisBR`M%&UgI=25|NkF|GR5>t?_SaR^7SYZ4(o@c zzFo6FSofl?T&H7I5Q)kvDnOMdzy3RkPqoyD*Ua5e-P!agnrn%b*oX1GLd%Xhgo%J-jbzi3NKX)l zf?#Nh>seXkMDV|#*x2A36!iP((Pcp?BIJ~iUjQ1iFxLYTd~_+E4i9Vh*ZZJR+YPEC zYJb-vfE{t!VaV}mLa2b(xIVjE_mTy7Yx_1=I%Ck#MGMVl%uqxLlmYJ3+2>1a7{=%) z5B>FL&|^vJx{2CWT% z+UFOiQ9^gyXA`aqo=)YM#Ue9SeAe#@gTVmKAt7#>Q#TV^+Id=qp9tcLN!K|=X4J`= zc&$Iiv5tdd*Y;l%fOb$WhOX?(c@2CzG=;CZPwGd?!^_Od>v`bW+G(}2 zNV0A{;2r|P=mWr9FWig53zU=;MctlcYAVnvls-ckZ841nlQpa|icP`yHf{tcXi)p^ zJNU5pgzKa|=aci$Q%Lrl_e3MAC>myz2SvZRb}Wb?X=Ki#MWe27s|Vx+t(jGRm4Z`T zOvGh-wDtEK22_7cO@+iiboJbu6+;R0mJL2=WvO6HEWG0!>JAm#Kw#=K;Y6_y#3%6@ zfO*K}IlpM2Yy%ROiiJHreFh6dIo)kfXk>dMIVCg~MKy7@0|!oZa@4a+eaz7*ih5Rk z@-Kj+)=zyFoDiHC*RElF#uy?QZh?_(J5EQg;%T@+-A|vWIT?-7k@~$ea zIkN#&QiS#Kk!LpM6%=%o-Kh~t>CKqF0|HPmxgp4Ee(l1}Xg!4JEyYVu5#Z9*C)yL# zOVV;`pGm3uZ9EzyX5hAh0?@a!2n@ElCs*mxy0QbYY%6*F+StfwN8e^ib+LRW-8y0!C@pn4YK+B{uTvkfyVnhT-6uqvJW(9)vNK)<`o zq2gaFXfAkEZjOr70H=@gzt?ZQU(G(51IV@I#6%(y_wZo@=3x37Su{as zp{v@*@!vG86ugisUAq!9-<&<0&99kI{Ae7m@RIZ6s*WB}=1u@ENc3??2zfX5Mui8W zGBW^2t5PDWyU1Hlz;|<*1)-xKmZa$F>rcW~%z8w{a+1JeY30i+@&e069m?hmRz;zn ziCofy9ubb|0Q&&Iakxm#z!E_&hy@Q1`PjTdOi;qM5bhzVwK%Lb_8Dv^85zd%K zM2`zWCVErTlH2A3U<5>nPY|0aLPdTm>L)qB#Rkl3vtvih1(y*{=&I@tl{ zH{7taNUjzbUME_4vA^WLx@4Lj2vv-5V;R{%;wT0_#K91Gwzld|9!soW$U@ddPcO&^ zvAlqmGrhXN@%c%;ed&a%q&s(GtPxe$lY_m}o!=wW1qz2R%U}E8d&+6QIREqKt&crt z3z4MAWe)7;%99EP0anK|)Cmgx5zGkTC!#B*KJ;1Lt?kn95^Bbd(Gb4z=^9=!)Z6!? z`0MW=p?1EWWteqRF2JUO)X7kfTt$TGh$hU5Yk-Z40@n5Qb4p?R8s^hRu2}g+(aK+A zA*g7`1$@iS&mTR_`aO*#Y8zRFGGT%R=s204$-&79nfAa`n%{l1!uBF3)Vb3;JdBA* zA^?cI7ZWThZdmSZ*(mY8L_Yba9t@W2qF22LOalGU4JLRVyRUh9>_?_uc?94*&CKlW zjXm*;o;clGbIU%dk8DRSepTe7t(DZI@zIh&zsoFY&J_Q)HXE2eoN6HKE0!)F4wy>m zt^qEfX2lZ6A_KrI+u40zv+{XG!;Qmer~yuI!wBZBJb+H2jYsyqh(8d7Q06#C#{rM= zhp^7Q<(13<6jl)-khje~>}(1kCNP%^6%=BIEaR91+-HPS996}!UDp24sr{+LU!W9^ zNBQi^)x$B=PmE?TZx3^Hu^?VR2jP+qNBthS?i08H=(W1U2@A+h(O>Bh2% z6frtC?Rve9nFqu-IZq}S{tYjQ$nilu!DegC+#Ox*oY^kw~NpMgmY7Vj)f ziAWfdFnUP8Hm^9-A*%#%LuuMCG=|KzM0YLJRzo!qYmVaS;ui44i5mzVrpfu42&rb& z=PDSm2HvJK$1y*FLplUNi$xbA&x2G#g|jz)xdsk#6z4=_Hnq5?U-`_cX1nPXQpgDS zBob3m1i^shQ6FYuh{gSgZLCwg#ujI1S?-2?QLLE0zCC$b#RUWO&LMRJ`;@FOr2rxj zKmt$@nkT_`Cf@_$T_nE&LphHS&RTwLELxKy;9P0d&_J`zb`Mj2gCFl~_Yv|sje|&Z z@u0?x%^l55i$EXiMp8!InTQ7_A!cAtfFZ zLE)THlqvcgw(GDJ7NbGH*B6_6bKc=ozs2n-0B;9=AYTAe3!9lG(N(;;VsoXFOKQ-3%49^NJh1;{1a}hs+u}f4Y;60iI z;`t;^9>FgE1HBV!{qNu(e*K<8|1NKzI(pMIc@T0f=)Gc7?V9b)WqA%) z%6sx+?_C)$LRt7tP7bQ2ETA77`o`b|6S%oFlS&mKQ)(Ve))9 z_S5Y_c4!zx(9N+vUa^Ijq5A-|BpZOy`?gI?i;pY~0A@AWYNAPY#`M*J8N*%SO88BPNtPip zCQ8_HrB{v=`A4NfN5D+2F4Kfnc(!|_O zUAOKhx?AQCh%DK*Mi0o2+Tb^FFvIwY5WC4ijZ{z2e=s?R@2k+ohw0cclTzd^T)*yx z1rJ^hI#V1A657fmL}`S|mJlS&0k5A}-o1R8lV-TZe9eXaZ*~38bKn7T0P2*^T(oEp zhHR8JbdHJF((0t&TUkYiF|j9$BJg$-M!zn_qr(A}z4Zy~InR6~mL7V_<3UTkM;!NB zUt}I2^2XuB+DGMIDFS2_W0-pcXUU8%(n+#rHku!&Y)aw@MPpl8lv>ueZ!IjwJS%*6 zQ*1V(rZFFoY>+KiG__LVXgB3Aq(|15jk%Pl%a@yygMuN1n<>jzHO>Ta_yd5R$9&4* zcL)VCP*c+8w@U^!*uB_HxCGph=UC&$7zLRv3Sz`YR4WPY0Et2u4M2o0nqJ!$^{>2% z{$^X!ic==7>5?$I!r0!@eIXBUo}T}g+q)A6eL1!Oih&Ex>m*w;E^O84P^{IX@t}rz zI$B9@kHX4;T{c=g;nqPD;@FI{V?--T>t$BY>K0rmK;SPo0KruP;oAtJJ%pA?LTWm z*`aP5-8hu}qpYifD~D@1b$AY{x1K8(jQFn>0BM6Ify)RA#*J&hk=<3_o?+X9!B6S~FKz$@D>ai-2;Of3q$HR(a|NGP zSEUuRvFI83QX*wWq>cO(s}65)2CY@pH}3otw8of?xf93B=n2wKr1fc+p~a>sM)M z-V6OV&EBmL{+S1WvEc~xtA5N&7K(6k9EYW%=3z@o+}L5I{rg5UFC^Ndispgt+_h_T zdb-+!#f5V>A%)@mV1z`7*}wm5s2)h9SXy(ARzPgovblOV{iPwuq&T=%tX|z~%$RM) zYULSff&x>;B+U50R$-usSeV`4ffua%- z5`(`fA+pFY_~r=Ib+muU#kT-La!~;gx@z-D1 zR2mP2&#@TWWYsai7|zXj{)RmtobmxNKtpgk7G|H|8P@g%c{h5B;UfR8`2c+G9JytGkb<99)8)u0?@q1N2~qDe+5Vp>>IN2F-< z?8(HXJX(FHO6+!Py6m{=-u}^_#<8q0DVJ<)zFpb|FaJb5JTD?ypX?BhK&2Hyb*x}h z=n*=3qKOHr31ePLv=11{&{uq!dl?-e3?A79>-j|gY)OQS$8tO2C@VknhIrF(($?EA|BzKq>tX`oHYwevCBB%1d0`v0N>fyH^_z7UR^UNe9JG-j1w*HV(uMY@bU547q!h7 z@0jlTzDbCW?d6T7j{h`Qc-%mHd#T&ekhm{DpRZ4t)?%5e#oMQ(Zy;Phh7oSq+RNYq zH2y3Mksf&4uM29R_hQmPGnPr8(|$)QXD26_6B&HPWCD&w)5s}=yTKUaJ6Rh18%gNK zX{FWx*V!1_IDVezo>NhoSmUX04-v;O?`WK`#OMT|L{1X(dGcR#G^h2z*^Z@sDx4D4 zlv7gN?1?=y_l_#SlvV_=FT)ev?!>uQ>4{)eqd7GpynDmu`!5Rmi9V39l6ABqlgR2q z;9FH#TOAQIQiN%uIAKOAeyp)Cw|x zN)OEMBtua=A-iH901|=Toxv)Pa5Ls&Bl=j=j&3Nlmr9g!xD~NPq-K_uqhbc-h$R(q z(ITyTF(idh0YPq!DdkiT-@(9b-t@_{UUEF_3A&2KkmCfc4CP(oXqc@=hD;%6O9W$U z*7WYtV~O#y<1_%sFp&~4 zUYz{?zni$9_%UWI4V@(D@tv5KCgf~jDci9x+qumb5(haJSjd70Py@UmEDZuG>=Ry` zZ1isEHpm^(>v(i`-VGMap|hE8>vYG_>YoV#`6O{dH1>oJ{3LbhHtjj=z^=65ee)Ju zu2p7WNBkjv3*bqPz&J6`1#J1}t^{n%ij?B&=s(PS3I zjjfT-0;hbOlXv%4oySp$pQK^~n34N1o4xh4aWGE<5nO>q&kE^(CmA~t7}=iuYP_ei zyHTW98#&_1+gD*<7`lfcQmp|3jFDwxL*vd2q0JTa${|&jrG4L2xx0G!!SAaPS(C!x zYsi`(SxJa`MmDla&IFKqExGWYXuy1r_{}r{<)gawC#8_-b)E;os3xx1s%_;J!Uo8ppF0y6+Ci(QiA^W z@kw^nd>IlQulu(6+@NNBqy?aTbcb0k%I#M&W&@59?82aXzxc%{?4@2LHe0tCb|DeD zOA}fSA}jtWTG>TaRXUf^qq_m}rVV-VM#1N;tg`m(b7lz+OW9@<+ikw8y@e;DA)ZXc zVf%f2Oz>0C9`Y0d9?&Kaf|%9vngRFuYWp%p;GSCXtk0m6eKE zYuc_IDzrS5)yek#2jO3c#1BOp%wD zS6f9l!!2+fYx^FZhvc6IZyB>Oq8c`p*6)3i-L{F_jelslk`sKYrm`f^HJ;67ITw$u zt4qTyLax3$L9FOlux>EydQH4=?8%IJ!nb=n_H}F~D`YcbS*K42Oo(#1T^2^E5CGP- z=8Nlcl6=5`<(TwzNZxs?vZCS!E|t3<+Qu)S`T%C|N4MmNh4vSdu@!CQfnYB8mH^M(IcT+~6M@7ub3 zL2)$T_}DNqZnWG~+3p;zJL04AntGc&B-#=L3Mg0bM4H&x^wdjtDORf8MCqk)?9Syb zO126Qqwghi*VNO26WIo3Ge`wRxi}VO6%5%2ii%&3F0$*KbmddV2rx)=OQ0y3U&hvs zKw8;l|27teAkOvWZ!+O>zo==1Zjb0;S$hwa)H}STU*?QI;7FbTNi_X^u=%v~>2CIQB&_x)EjDlCgrj{r~30gue7qe{zkdFh04(;Hc*841N-fcRtKltr0#hDubV))o#fytrf>E_rG*sI2hKP;uo zFi_*PLGQKK>6mU?N}+6v>5l#g$-0b^lC@E{+Losq>qX}qcA;9GqUI&5$-=nLpR@Aw zrvn=i$6IQ z+W0yOODA00Q-nHk993dN2R;_0&H$kc#k}c`NH?Nq=u&_UZ$kwks($d`0aG0aRo9{x zSCI!^noUa5RM90)UyRnQV@J(}w)?KwDfhczr8V)+%qrTkFomRrh!z5F8vkbd+>I4wjPu8u}OAlKF zhhA-7(|+gImB2n7(tGz^bacRio}ab)E}r0VR_v`WO5@F>Q1;9`Sz6&;VYNN`!~TgRO0KVc`>p_Q}u9ZQJY->{`r7 zn$1~laU;iUD*g2fYmxc1D{oeM96Q}2ffXm%27|k59zAk|&CW0ACs+djRSV5V)m@6J zNdP#Tlz8NXK_8u0JuJpQj~S}9efI%Pdc)JTWMqS&7i5T3qsOwM2#TGpSm--{keoF|ksj`jds|NNm5EU6+Ol?yFd zf&H|!lxK9P81gpgb52eS&;QJ8bHx-x{DVXSFqPqo=?x^s+rgapxF9V|T2SFukb-4E zPA&x+@YUGl7$vY1mnSfQkzePmy@PK~B{UhS6PA7-y}KL?EGrUy5Udgr2f3Z~!oDdVj`D^U>5^A5W73nx4ykt%}(_YAv;4UB@p!I<75xcVS<3dWq@TZJq(Q8#Ez& zVJKBiP!WbzEwk$?Z=J`@ybm=eZ$VxOjTqw@;hczDw3{+v^2`@Qk4VlaA{*>B9lE)( zE0E73#x}GKDhI7bQmN*fp7j7f3y;;e?1?yom zs%6K?>q0D2&$XFoe^I4#=iGbSjr2Ph0F#i`4aZ{q>+~!}XXy2`Dpc~DH>C5Ut}b>P@hENWKyrd1?wQaGxDz8tlmfB>8xw;J z=sGJ8no|2143o&)gtIE+6l{oAH9t7^QO3=o!8)nqDRAkl=Fj&jYt6%gwRzXHGV)0Q z_KJ2+p47*DLF?rPQW(s_usyMTssDwYw_06!oR?sqKU9_TiY6O~BHCbQ>!?YE8yv^v zpM3!|my$Bdc>LJl@akverv6Q~#O#ilV>4^r^FpFZ0$;9$4As#7YJ2wxkOD3U-w>Bt zXXzj}jP!WEW5+XvRwK&v`7S^t!n4T0PW){vhi>-%Du317PRU;}^n`kgyOH^?Qc~Dg z7`ohaMekm_#Et~aUzewrvL=X?dQ*y4-jDW)diJa($OOnSeJY?THs3v%)WK4ifdYqB zTrj;Yyv6<;n{^O%`DGO($3!x;k zZ)C1r^Hq9-0yZcgU+cPX;X*87GCp#Q?{2n1;3%R)>0uPNjMFJfv%Oy#8EHFn+|29Z zFoX1}$+4<&XX^kHoIKYPhYpu$3}{*c7k3Ds!3Sc5Nk`xUIYzON6npi;Uq64^P)Wpe z4){-I6TRMY=`d=_vNb*$C|O)pXrYI@8BhlYq_CY_;N5!Xc&s)9gwVgL`L2@G1||dY zGbEM|f&iZ299NuYQSu9aq@$hnEi`e=8-8GL#a)}ZbB^*s?JPHHttnY^|6cL1r_+|* zIF>iKL8Ltu0G@}ag(cty92kcV9^4)n82EKuI2nwvn}FMqs8m=|q7&`bSR`evq6B98 zr%MVW2KA3#LX4cE9HF60%sc}UNk@&;jbj(tG!!o*W5ln1q34dYY1Qf?pAwv1U9CLB zId8*A$?2g_MFWB#kX#QWO1>J^ktp@SXhelE!=R`Ms(as;J$hKg+*;`G+wba>OC&c> z##_pZ@)&kH#r(!)6oAwr<~-@w$YG-)aPpICTwSDEjPxJbwtqMx|}?a-zIPF=f}JGHz_$+Sh4232a(d$;y~ws{IugU+&6HHaBxbh1aVr_5Eh9v#*>fNDsKy#O3;C3hhx&y zU3U*DI-peH$h{4L_i5~8CQDDk9S=;RIE z2NvakQ!t_tyd4en%8rv5kOFG^-tMEHVx^uq_aZA1$N~A<#;DA7yxsa{;CJFcm@>up z^5uzlTqLU$l9JbsHvy%XI-R23vly!*iJzm3uAhJOL0shH$Nyax&MiXOh)7a(eyHd3 z`AfiknI(4dTyk$geegoc9#8R6efRDa{$9cMZSIDDY&$Cbwy@QmRXKkA`Aq~3%;*}3X0|65s>@cQ*m`dNNGq>}D${iwShq_x9rO+KXQxqcP^95HPwx=v@6 zUS2|2gt&lvh5{t3yP3lEE2R3gX|tTzF#1^lzT-pxYG?oE1oaHlpI(eACKABh>4Pr0>IA(5hnDZV7-#;#2COENQUdI zyu8fJNLDmOPg)}b7g-;dcT?{hcT{jhByx#!fUB(AD}Rzg$LS37VxJcuK70eYr&nL1 zmh5b^uHUJS6G*vpe8Kt?glo!43S;&oA!fdtfy+e$0-x*}4uf|Qu4B#o=6RKp_-H(J zJcRR|zmmv0S_TE~tK$XO(D3)`%-Vplmgq-e6+^d<&IOF+&>sSn-QBgSsNtSGVnXR- zL^wdrb69l?DG=sUX0NObBSY5ZwBXR!(9)XvJ&Di(yYTYmA|^k8Dr(D?u(A}gm8dMX z6<1U}>7A(3k0i;5&q?iox!!^JT&MwtT;7f@#g*;auaxm+$)MdW$loyKt)axBE!y%> zG7r&_y@Gf{wTd(fo1bYb6MvLe0G-G2tGdlGr-uYu7rO~Y9&R!DBfA0v3y-D4V#`C$ zYk`J@bSVJJJ>jj~S4G1Z&_&P`vHJy(QmI3S2~JLBKHV|nPhJHJM=2A$3Y3pBuX*N2 ztKZZ3upEyXP(~a)lxukUb3}@4=+$cXo@p}D5++Do86)|>etse4pHF(27bp}uI)_Fp;2+ESre_!?qZ@Q*iKRUTA2w7Bqt-P@=@hF6IBDUjS}XHnMH13LPFl zaXQumn4_!?MIbzuc|Et;da|KduyZ5{bWrSDn8LwEla`8hmW~Mt5TX=H({>UpfdGOz zQ0r5BaF|cy0YO%S(iy5nFJLgqf&|u1A(jSIfQlwtZaBw5ywEieihSt9YHW8eU;r>cxvojr&wSS}!Bd!aI`rzQ=Bt zi%Y=bk}j+E4N>9wCi)++gRmrm|Nnx6O?idMQU-v*gx?;$`Bl@BG@7G zH4&T|JR#>=iBKwMooD46hFyes$Jm6xZ=#8rGjrxRm93n+$g0Pd^=-7-IMDntcL)K*_10jQp8KcZ#_)|JP zxJ7c?H!FuR32nIkfFxYOh=Egxc$@y~y@&+?g+&|>uP8y|VhL4Id5kSxry`t8XqzT; zGF9hJoY<7EM8t@)mlkm80Ab0zEO6>)uX>@$0ib^M^5r-JJyUARo48+7+I)K~+DxXI zVsO^ZU^k{$x|ABv37)ERXKHQO2du!?^+TFAX)*{7S-2hD-EMqk{N%>|X2obVez9UCOD@$B~)Gu5r%Kl#~_7$V^4f^7D0KfTXmVah*!Ily~Eo+21 zP~Qev66(80Kr@H0hp_HbV0NMc8*^&dBX>BbcnRX)M6Xv^3T z*VHR0GI+?@M%OJu+L6vJm>e*v2f5MkrI=C=a%9lW^O<#AFntP0YF_5xVW)9!Biwu@a&mnywT8y!u}<R!X9}q}!O9wn0JD`0WVSAM2Er@9Z%{@UkSW!vDR zbKbz9_A9NHjeX~OA3&654W?un)0x0;8Z6@x8B@1zqY>(zn|)2qoNovRo2*aHYR%=Yu@x`dMr zxmmN6Th3a@SoSsOi?g~;VFRdUy7-|3HoJN_BQd?BBpkjk4pn+J;=p}tdt|;S$k1B6 z{dSeq#@{u4dKrFFjr{xU{PVx &CT5<9S_^kPJv!0Djs4$HrI~qq}*fTi0>$cih)Rp_whX1fhQe- z)+tlAKC{$d@x1mwl?(J2`J3H5?Y;>G3%6T$A3Z8EXI_KPVh5sv8BKHX$SdZ90>Ab@ zvhv+F;>@Dh%=Km^Mos;$d)225Q#U;DQhWQ_%Y;WYJUU@}_7rucajt3;qe>!3*KIuQ zan4WmHDiOXH{D@9seI>1g~X=r@porhImV~C=N)7gblLaPiVxq|cSUnL2{iD=R}O4X z?+cM(p{=I${!Z->%|p#uBF9~z=O?H!@xZ4Q=_kOU2~BOYJF~W@bMy4Tm!{RHXSGD8;#&WzmHpPHB{5nIN2Lr=zQ zzMb{s@1FXP3dg>1U;FxW`O2X?`(8EdWtrJ!SX~~r!>Lx?TWZAPs4zN}+yH%K3>Do8 z`;qLGF*l1;Jf2au@yi)Cj+mRJR7u$48J6#KkLC+<^b{5w7NT{}Nhp3F2BPu5|D~xQilp0-Z zrS};Ha)Y?M9wl*3Z3iT|53@olM(pjEYSSxoe#KqFLI`O8TYCpbsL`j0(9ovD@0r>a zlvPCKtb^{1xjPPPym$XjEfe!Ao;gQ7aotg?`TX~z#mn!Ea4=YPwa5DAc^7WzG-!H# zR>9?yk6q%nO#glI#fr)gYe!Y*_(W3sS}iE<<2+VBRi%CSENiuloE&#HwNlugej$Gj z5eW>AMEX>Lgob^6I$?jlNlNxFpFAQGRR%iU&^G!JVsL70YE9)IC*y}N3H+3s+izla z`?mwEtf_T8Y2ulcJxZ@nbZ8l0!I)Uu(aaaXRAe3(Xivn3rCEUhE6nm z`K?sPCu8l3UK9U4c`i}SJMqKi+S@<~(zkUP>$r8ecj?yBPWNN`XJ>5c?0?#0%eLz^ z9_Qt0kGgv)Y@_`Zuk5s$_&nO&Y!6V@^-aU?8M<%A_VpN*{=q!I;Duf@E4u-|{=C1& z?xs1y$VfVacI}WHNv4XdV}U?dRH@t^ap1t`9htEqKfJfS@oV#FSYc%LX&P9ymRBL*489!fFb;r_uMhrf|+^*mAHjb=5t!g}#qe z4}fe@Nfdnw1=ayz$IV64Zndbhds1)p6;JJ95KtkhCblsBKPK%gKi)FpK(ocy#3)IN zLV8Ed>6-yn+CBTdX5;5F@IrKe`dcf0-H51UFOYM|w^gc(%TCHl%%y{&$PHzEz+a4v zOl@G_1UpVf!@=-G>n$KCkvj0f;Ih&s;@j+#YWyC=2;LF!ZdY~vlJm5Cp;dzwuFRmh z3;x{4q+Pnj&O(JT+ zer>R!+Iy(ovNMaf~?0d(u z*`#WRt?DIlB@<@M(Ag9={hOlas=asO9MrD)_X-%6wbJ_b0&wNvqsz*tyjyKHO*1@k z<9-#j*=MK1SX!hLA;1#H)2A&T=Nz0>b$8;oeR(afjSbKVDD{~7KYx<1;q*YorMa(S zl5Y*L^1Oe)F%@f&ZS0-;FZkb|_xjDSnKSKA0h#zFI$7prxqLZLkzHZPkKI#OF%MN3 zWqr`dcSto^spYxKdqdTKuUY+-tGjw_Bv;~p|B2TBf5ro3FG*EjjWB~m%&tFzc!~h_ z`dpt$dH7#{lC|u#UQ1*T#BYl0{z})f?1m8o7v0*OT>ERV^dd-`JO^Il*G2~^v9k)4 zxc(I7R5+G^W)A-f0y|gN+O|pcJ%=#U#is#8|GSifjD|Q%pCS<}sd(#cG=vWWz5(+IVH%Qidr^Y4x0UI& zXUL#i`7WJoauLLWN#&4NurBX3xU=|4aX-LVrfS#DKaWD7(_*K;Wx4J8u;*aZOwB@f z67UyMW%RUP-Mw*m(Bt|JSt=(yN%-6Qplh;za5MR6n!UgawA39&libM5KNMvOW7<-~ zkLAmjmalD*9vkZY*ZH>q&jgZ8Jl=c%{!5Bkx%vB^_OTzQx?rxV`c$z6@C?!L$>5u4U@eRU`6pmO)6ontoOocC;A(b4y6-;6l~)}_jra(GCs5{wg&<0fvj0p zUI1%sEAg{pKBVom3RR5AN1z8IR)(UDGW74F1X;d@6bTrNVIM$Nt{B)46tC>INxR&Wl#82Qd`Nt|?QPG(54P{v@fPC&cq|<~q9<7+ z7L=Tt#}-^u1O&<9ZCd1Q`18*%J1?I6>e4%WEAuPetjw6$>o1Z~id85$h zF1e-c6o1Tks9=~R&ALfVVPxMqEVD77#xML z_q*CBqLZV|x6*j@CCK95y-kmAKiahw)r&-6f@d@5N{qJn|3OE9qpSz12-+r%^Su;1 z4MYxvu0UMKEG?^)OPn>QpV$eCgv(d-DBPraMUb=tNU;xqTqFGMr=#;H^B_urC6mep z$!1HN$jp9G3(LT%F4in{-61{wm<-Q8JmAiT-yhD2yze!-?!2s^~$b{ zZ$T@Essb)QWIIj+6lW7Jlusr zpi||Qv9>__{OJpBx8-8u1o9Dqj zEa2tFR`KSbF>TwuJEp#NiV5W0GM*{VS{hV7{AtyN{;xSo-Urq8_;{e7le1!>-=BlR z4|u)~>ca7I-%j;kd=G3xdWDD;WQ&0rfL&2#8Vs+<`REyNRKRKjltMg?#~WtMLA$MkUz+FI6>B9rvOo($X}!4@~Ml~qQel3iXe2BZi?$_#n7xTU;6a7Mc+-Y zC~P5{Bap$M-C+?ca4Fbf{q)1nL?5loYE=V+i*Eka4p$Q5_5etseb=S4<1`}0P1Gl9 zu2s6Iv&efp%F84&c0tL3+r)?nJ`$a?4xT~%%>EWQPkuZo+`11LJc;E`81ls$jik!x z=U9iVCOl0Sc_V=p&q6C=B@&|d?AFrGXI*PXK;RCr2v&Nqc&yE6hFe}fVpZAQ8AI== zv-gc$szEAzX6hNzD?>nR3_?PYKh?$82SO*j3jH0P0=5DhSz30}_GyVZhd4^iUfpM% zUq1XKaaI=t0@@It%gY2-12^(dquija7A>~T+<8kTk7b^$Mh^*D@Z3=#Kl!}oQOGIGa)z|Aso~GTvLLrf- zoP_mq0wDB`Nn94bE@T^^kWj9CWz9o>jeC$b{r9q;ygVI}%OeYk79cK3UKc7DUD&~4 z#X}!(S4xLM3oAB%nv?^PO(7j(` z3}dt))sGrrt9sbQ(rcu0hmIZB)hfD9d#h;GeO>(eUN)W5BWvzQBoYPw1nfcc;(jaH z#U};}>IFn>B&jhZSD{;so?b)?F03o)bsU0EGe20MHo%u!G-n*R;u`$VDpsVBV4t#! zlUQ5qexCqlQ$d6!CK?3>IzxR>a8c68+Ccd+xM)6!)_QE^`vh?*^X_5`S;t>lj}AgI zczBcqpFd#IU7ZzU=mrv3eBykQ;2Pnbho2<$)&`OGe>Uw+nR0g zZ(Kq-CBgGxbarCY4$ z3Q7z2tVLtB-ES%6JbU`o22fklTk4X85NdQN-io8?$@AwIq1I&;DB1~GZzqy%3JPET zJT3^lag6TI+1~t=?264$u^6DU>HnpPXTT*Blp{1-@(sbG)o!{(ajrk2y&XX$wg_)n zPzmZxHqYAda!nrTdIW#a%Gy$snPt_q_)AeT8C3sD;<4a`m3_8Cn?pb%su1&iuIghw z;=p<&_D{-LcbUg~_jLt;T*63-2QrGPXF4|x+M(J&_ zWUwV$I^HB)7hqg;jH0S1-bgl?l0b;NP(r+$TFe^=M8SFXnb7lxEAPT))MX$-)WnqX zmS?^^ZzPgl&T%M4*{6m*fQM`MQ%^+H^6XN2rl6#uD^InLY7IZ!O25f^VMKX&3)fKv zakxEUS{TPO!`oV~%kHH&CcP15o+p6xlcEUZiV{`Lm&RuXGw~;KCP`o>R6Dr(1qJMp zihh)TO>b5l0cljro1Yv$2)nvai9F<1m^I^y|$ zeG8tT#SrEPkAS=-8#ZqA4vt$8?o!?$q)avh6QLmKJCJjdy~(JLHzn0M&tLu%)m=%b zi_lQE8H#hAm*=Uaka4dT`kRR$4rdmP4^L-nq-@Y@QSwydAwt%HPD*$TQpIrgB>{GDNYjUB%V8Z16dlClq(CG z#GHP$GClB6;m(y2jHOIa#6>*gq8PXIWk^O+Dxet)+3@%M6h95!GAg2`I~rmI=j-9V zL8KzvjbpvLOnBA-&E&$T!8??ii_~9ou_^b}wp~8`R|_EVR&dM-08eH6YReQ+V7HPVu6)y={F}{1PJiZ#RlTog@G{V?8I|eS2%-XPxcwY@MIr*+7tjB)Icn@ z)Eh#sJ(oQ@df?QlY2(H{bo1N6+a*5LdRkw-|7%H1!DxVGnBK>CW?%VSXlfaN(3PvX z{Ah^ek{fILZjN$hX!2B@2RqIDaFUJ` zy!L+4E~lRt(F2~WTltQ^N2yaMz2&ug-6NM|5T1TMAOMSR@DAjqyZ>%Q>z%fCre~fi zVXW5j4!K`(f;C0sq-d`c$m9^d21@RTh!n9d!;A&f%o{Fnco8F%?7CFzhJP8W*-vOtQe~30yQb52>Wr;NPP1fYHv_RGV$7j1x&lkVhMVpEG2qjoCtG-@APuA0# zeYCVzS*-JlF7c#7K6ua#*~heLUVXoy9p^&a~uBEEBVA zXNP*d2L4B#2fx}$-J+%pKogU7=Fc1JrqQUA5P*>mS;XQcq_C4NGidYAPwGdvp_`U8 zekL1PGEG8LG;jZA0-4JIsa{a2#g<5~oFl8)C0LJaClmChgH&j#q3Wh-CS9!z%KVz2 zpMx{R*0rB{Vxy5s>JLlJ*UIu5|a;fpgXLj?e* zfYPcCcCB#%ZRh1akA#kGsJGyT8{pgL=OfrbV7X)Bh0YnL7OAX8a!m*^lOA>`*1Cbq zCs*6jr9s*Pq0{V3%4ca|dICTN-A->9SR_v}d}3|S-LS5vYBLS^slTM`A z?;HP&pM8!$O(r+^oxDGf~U<47l=QZ{2jzsyxAVm#x!CW z4uKT+A3y#C1ajB)tw>go)hKuC=AD$gl&+Zi%>LrXw0l5pRD*23FA9(Wi`OqA1YEjr z?2*?k!#YwaXU%F0dMCkX_+da9=xZ+Dx;6WGLfQu9(>mk$EKK_ya$eJ0(v-hr2bCZI zhcu_#AVfkDI4OKfgxIr+VhLc|chvxUB$t4d6-qhfE4=<3tMAlmG0I`I`9+qH7CZ(H ziopcdi5fu)&!;>#e)j)4)*@bSHnt}yepY)Mot<6gP=U=6ZoW*7#em9gQ@3k^xBmrjNQMSm<=;-PACgTDi zgGIE*0udZNIuRa-=M$xXAQ-^Zf_unJZeSoLUX~)JZ5r4A&=bacbT_okR5Rc0z0}nk zvUL@4U~=;OUn2m_!FfLO=E%82=Sw#)%WBcrQz;y#4@%#Zn!COsvyFO3D-?YICoIv) zZRpBvLMQjn*4L@213s1vKN-)bENXERvZ2FL1{pR;6#bKp*45ZubsVenxE*{@rdQd; zp%mk3q0R16A9>*R*Yx_Pj3PYHkr!WNJR z;WARe?kEfZy(vZ-W5=pNu%eC<%`qo5U!O55#HIY_jTS6X9)o;j1hfFuIb3HP3aPSB_ULsL1Mm5sIUgr6104&j`HQBXBNK4CeI9soiR@hGif zgZcgfqCex>IgAv!;VV2_+%|%dC!U{)d5(D;IKtxa*wpEI2g+M0j6X$x$frMtv59rQ zv#~bvq#~k+mfsUJu~#_d{8qzkvxjIB?DaveROUZabo{*|${UDc@~TySWdXF&Kz=5u z?hG}XW5Kltetu1!#+Ab^|ILB$abr=&1#;vufn4Dg2JYrL!vkkqklr+zH(U*=AQx=H@v#`fS$)~&Ys>oF z3n~m;82aYi{QL)(?TjYQyXwxzS^cEv!f!e~_DqSIGpcl6NI}I^MUu1l^8rNo^;sqx82<43#B6!ZrVaFgvZ_l2w!9Z2 zJf_{GjI&U8Cxc)oC+p0hh5kmSFOWPrrKf{eTa06`IpM2WJJtKnqf{f4*>L?`dghr7 z6T^R;*LQHNw3ulS6Bj2&={8PC|0Oicg$1sSYXTUL$Rbc+C&p<5*@!@H3GA|kpvk!# z7`!AflUmR8#whR;?&~mj4py+)0D`i*3s*rrcEinYl9LrD$)<3`Pkk=}+O+An9?c{d z&d%fT+Z97;iV4ZoteBUA{tY4Za?G8+h6CwrXc0xH&REZB#cM1FKByBx51dv4i2n6g zCNWnmeMRw(N&qQU>yX%m1SKL!G@+gVwNVNg#Jb zbXDQ^@6k&Jx%BIO8h;R?Jc;lCVL<97Xa<(Pp8ERl{A;@T!BTePr8PXSa>M%PuV04` zYo|BbSvdPgd@vl_H7m~aUFQ;4;86$EVAl{Q*LZCoC<)PlagY;<-o$_sFzi;iB@czeVkij(2_aV(M@ zyz@rWHurvDqiXzdpnmI4ubRL81>j1V-1czSD1CjWanbgfO2MwgGLBeMy;0BvttG=x z%Gg5812ZWCPFZ4u?>*SLwRwxVKjJ6c&^+=?cDf-5@4s+o^Z9+!HjW(ou{t9|SD{w# zBhQcUCFjnKJ2e)%h@Ye~Yj`J%B2g=He1e)saasiDUOtJ38Q)q{D~%4RB~{NNs#=jn2R{>0TU{t+3e~yi_!Ne0Oe0ulTOXTG zvp%qCBk>j0R2F{Wt_$=`G?JL*m`4R=RP|nNv9ZhK&z&q3o40sVvqrtIl6$!F=Toa< zuHH6ffC{Y5T}D5tu%M#Hcu%9dH+EbzxZ&wh+s{L`$|;*Y*1;5&1vW2MeTMEK2?iS4 zL#bEXPK@L%-#c4&KIhXX!kHPkn#q1c_)OkDWGUU@|8H1&LEq zw_*Zjn2vj52CnkDsdTs>;z7A)z}^=KFv)RS60ecD?X2sYnYq+MrrhPvk43`M@SxkY zkAmNe`Q@ zUTt4^FLh0?sq5wx-g7>i_`0@PVd~x0?+@O1_$W2K`0KN;N7rLqKl6KQ!lIR{a6`2V zKxihR(zVd)5`iK>DA&Ah8CTWMXNFy3Hjgk^Y@PAb(n$xL0fb}m){=SQm1%{tXA+Ga zQvMu(%Rrts^osswD4AQr1_*azuMg(g zd1IT_2Z}uOHbla&sHo_FTX~x*XVsb?&$XO%X*Q=x?~5Y@lP0UyuQ26k!J2UMx8c2?|hTQX$_4Xphl@C`R0x~9xaGg}6)@ZoBx1N`--ZC9EK>=spl72yx_tlsDM@80bonxh zew=OD4?z_qEloqi=+|u9PqyL65%NO!)rWjYm(af_1On5QmPBNrHWvh+Q>|+oj~}|# z*X6&7=NDu{Qi(a|P^AfUiuuBg$EEmmp^FDE{;I`s`I{u87Eq93R~k_`uZqe_ngB(< z$^5x5={~V$?Ug;X)L6;U4o+1wa8kOG^kl}ipUUT_+ZSon)SE)0AT*nHeqF~8b_+`J zMsM2lfXJB13UIe&1b{y4@Fi#1j}`%Z90&-A^?*s!zLh+Ncq6oimxw-H)G7jxdeZjFNZVEbm74sMHdKZS<&J$GE7#58_1F*@Dc6jc2c=^~`QY2Sxvo zU{LI}Vj6T@h$cs-M0Zonr}lNmQ1ei_e=yr-^LE@njM|R+Ca4(Zm@A;2r114TFiGMB zucjcmd(K32oV|Xs{uOkC{O@8OUf%X+BIf5`x*JT6deSUe_E0W}*fu)7;%yM~k$8|{ zffWV^=taCl8)FWtmR=UC$At!YY#wq})AW?Ih&=v08O@V#FRa_6NaQmAqVL5EDh0te z!vlRpyd$2$|5R^&r6F!0Fk<4FRFmx5!TpirA^GfmSa#uQES5Y0(SHM>?{2x*oATX2Rsu}1!)fi3g5!G_~DO=l$i-r{N7-@lqm(ubveT-f3Zc3Eb>_|yu0QU`MUy^^ zT}bkBF#V$By%RmJ?Puoyh`&;oyp#F=b#>lxJ@0M&=h!nPG?bGPLb8gYjx_AqF;mDM zCDEYlC@MNij*z{T9V$r_LUt$(l~gn(n!o48egA%s-`!vLxl!Lf<9c7$Yh6SPsM*Xd zmK4-ikoraV zM+8e2E*_A#?5op;P=a;2;=+uIGmPmDhP>?~|J+qKd+6!S@hJKA;0a$Yy-j#a`$)gcz$D{mTe=aZO+b7^#7Y6PSa(1z7ZRUf zj5(31DClLH4hiXQy9}rpmIV}b0wNGFX^JTlxuzdnbQ1k5yc!~kBfFjkU^C{rIQ5mi z=MM=i9f1K=G7cdanqqW|-u;IzX}Dw^w^LRgc(l-u_c!=T9(F!0bwS{G zUo}i0*P!?&Sf1=Cm0~3v`Q4LI&lk_9=}0y|0BUP0_fcxs?hd@@4)~zh*bO#b zTXs$|+coUF3Ku+&i6;l2+h2OYWb!2UiA=k@wMF~zpXZ$h64v&kmCMK;6u552#sxbX zF>)ii>5*nQZ-iIr%br7=Z)^-WyXS6LmxF18-1@(3pFJIumyV8S>CcyE&+6Z5y#Go4 zqMP6Met5!l13Gx%o3qBPb@S%PRSZyO@!+9+Vv=F(1{H$fZy8(~J;V=+j=>%bme{P( z+&RQ-=C_Z@MISbdW|d-x163MY{uV-Yu_t)JHGH02~=S0~B|Ixpy0bx=@Qi7trdF=`!}f;6P9=pr5Uk#QmgyD=#anoTIa%^tL*{ zI4Y19*_^w)ybI9J@UM9N8Yjo#`Q-BRBqG}-Rmg^z=kTAcx5>IAI2yhg$@JIfoDLd& zLR&3kOop>l#c@gt*L`bCE1D%*SHjErOp=X43Fe!Io2;IdQ+_HciiICUQhtnRz6m2Q z-6T`L>G?$$9=u(7p|su4kBW&7^Z7tyb@$A<2eHG(^gSFn?I9~|^zP@bdXA!vBq;Nv zd_0ax0-9~oi9yt6UoUfhuc86_ODW!bgW-nX){gNw=M!LKdc*e3mYrpGwzg@1Z`dMz?J5GXc-!sUNXkXgoBbYgcUOoG-r6-H&c738%w$Sk~!P0o8^N@ zllR#PWuOop+U5&Ys=RNf|UG4R)4vy%c2bH8|qq)v`FZCboe-n9NiHSDdpE9yuO}o;YJnWU(CC`H(AxG z$W75ZtuORnp0p-w)lHB``vOxRzqZbg<-;WyxmMq)*Ztff_GNZM=b;Im zKDzt$y;s^!wXcuy(+7%S!4Leclsc$pjS4&P5QC%d=o8V;zy5sOy7gP;-yX{IlnbXd z`R{i^EE)A-)65G>=UVP+bgxv!-Y2`??RJSH=12coFj(8#b81&3wX7%Q6@w6r-cx_K zxA0@m!+E@9&!11?*XwHE?&H3l%sPHfz*59obyii~GfD6MKcz-$|NUU{2QF5f6#~_F zozC{_Tsp%yZE@o*`*-cyUs7^Wz9SSy>n+3UPZVu=!1@wfe!=-Q>;Mu~teStazvGVP z{C-CA*&fXQ=7f7ln~I8olMD)0 zsgTB>WyDK$ID-~Wv>m(pEeqn8`v1QkxG%WZ^qL6U4w$$FR9!b6U!m5lHllidqayn{ zrHz=Ew{tvQT5&@D*@KHj8bLPRp;x%cc5+_*sG?=JJ~%R{y}i1Gu}Kjy)PMMxh%k2G ziT{zG5aG!`y1_gZd-{`IFn=8yU<@sgv>wI>i>!=B z$uB$qz(?08SuKeSLzfI#^qpRgm1_BGhrB5)aG!O`(jwd&2|tTK=!e4K#Ql6V=0`tD zcZhrPHj?fiD2m>tv}*r2<%4?hi%03WKCRsnkbl-Jsa1VVvj+XU40G#aKeWhiDY`J! zgN#bI<_2!H_6P<}L!u+b(>xW1c!7<@7cxn4-s2yjM%tk58Mv?Xjdb(?QZh6s2H zM=mwL>A{TD)G>fLpfe&og7rp8EZ~r>K0yy0=pTnx|KS|<0pbPKweiAML5bOOSxX0v zA2d35pRG&p&&98JsP`Gl^3MF7vC$CfQC9F)xJ#f6x!QL_8D8vcPp^!@wMgrBf* zZGXneP;W*Y{465kV~dxs#b$a?+Bn+xl9KFer5jS1ldwxJn>Jf|FzH+RBBN{vREc0;~V{yqg=vkNk1@eS?I_--{?#Fak2uiZnDO zWjhPgpv0+kyD&L%H4+cM*3M2kI?Zoe#MYC`uDm0?^Au_~z9|9)Og363Ce>C(<8oZ) zolmsw8puqiqY?l@CXo<8HhHomP}HuR#ncqZqgu~%BHk(y{?Jo55Dyx~0~!QlSJRC) zdbud8=CT!vPb9WhI~GXarrol8zKu5>->D@?1y=_S6&x%<&>-k9vGjN#XM$}-WMF^A z%rP=l@=}nA-mbXdB#F>G3czo0&sf8#Cb9}s-mIYD;C>tGYKCtfi<)0Fc(9{na6yfT zSZ3-}C1vG%S@GLRjIjS~J<}p5X=_rhdDlSCT;+>Y4Vac)L(7^|EciZ`R-_sp^7=l* zZvD>%&kutb_4ff#E+tL{^{Ol!rALuLGLr)WMcSUQFtg)nSJ*fyX_hFJ0rXk}aMuud zBMHcKy%fHL^ZvitIAk8vibCrwS)u99Kq?8}PQ>;j?-mzJYyQ z#16)NPd+Ky7oT%%#6uDk6jTzLQL_#8ODSrl-SEfoY2pLAFm-2ug2SCbVVN-BKXRSI zv5Qwvi|j(A8Q-tt zPSG&&I`$pEElK6!zFi#w^N>B$*}4za1kplVFF){bRFwKgYKiHO%tnL;i#2oPm@%yX z{DT>R=ZRUoc}mbo9cEM|^#34$ynx67nr9sdVWYJ!WWUtx^! zAwt?{A}@(}ui#YYPYpn*#eT$2=DM`62!@!>;hBM~2|#$wK5p6FKs{gSyvZ1n8iBGz z#GnQd%!Q*Fa)8)6af5>(g)g1B4BrH_g@%div-EV?T+8@Eq07$8+is&*JNoe$_!01U zoEc0aBgB0KfI+=`3+%?P&x-r8pRIuq5oVx-2CWwh>u>X=5_>i+H{isAxPgn=3v$Te zPMqF6tXdM`%o4IE8um;F;HZ70Oa5rDJ65!WcZZ2l3fVrf_+q7$T7nxV>tL6bpsSFW z&@BSMY%!k_x%{0u=Nqy!bW?C0m7s!fe*x^` z9^5 zwogI6=)QpScJph~vSA23PLV}VZ5uND2@D3BeSp|UyqwTSbD7o!`s>mqOz~l}^fl** z4W(F1pbxP?2#(k$LvHgEb{VdW`XJehzri-0t4C-YFce(E@5xUA`Jy)J<7~iy1&@M;U#>(Wq{`5Y!9tn> z6h|CAU{>4>)__0Os_4TbCsfn&<@4F51xsttb|)*xPH{4-}vO>rA=E^Ctd#E%cshWPN^q%;CkIOPTV=P#*$L zp>ib)7xk}8a@LY(BNUhckb*eieV`PG z>C#5;1hfW~?r=(>>?C!o=sWjDzgRn(E=Cn7P3HWT-hUtlLoq_WV9oc)SjjWpUHUjV zSph^O^W*r`6Yefep@RsTZFY0Ox{O%vJN5zD>Uj8o7Rt-9`v-#Sv=(0!R6)d&iH*=@ z@FvPC8IBEp5J(as)rZ%y$V3SXU-T)vEi>U{7!#m-DT7Q9orkSe#;Ab)KqAQyhabhr z$>XUNuNNJ4lY@ZoftW?^7CI78h-KvWMBIxejYZZ0!+2$vx^GQu#Y)cqgz~nk6^k>d zIhHi&0?suD@&zIBMB*45Ti>B}#nPqocqj=1Y=FcYf8E77#CFr!c~`FLL9fBZCHA#B z8j{m}lzg{heE`IzdzCd;ve6Ta)t)Zdw=seGB%ub5)RC!&{j*FpY@MU+eS{sObGH5U#*Xv_0zC&Lf7A2 zctuj$d1&~YAfgBy-y$h(yWQd0kaYjEd`|(b5hVh7pP2j*h+RzF(b1l-RxolxTZ2eQ zvPk2I^}7l5Bw8X^iXfHF5x@8<1wvNsgqF<8(lM_f{dRc_V=3_t4n9ljm4qh3c zlZcD9j%@DKHX8*AYn72F9n+i;SSmw3(=U<`Kvjf6R=@I>(d1~jL^AjM!M{-}n{=l;VK-MV#abe4~x?jX8#blbWbPwt`2SzTJ1*u@1~4+qQc zkvgwZQm)WXIL1`GQGBPV9~=`Cnk}3P4>a2jC>dJ^T4?TC^q_9ciODd{lg|W!5s=6X zl&f-l&syk`h4N}EE3;qQ8n+uqK2;6+{2mfUPym5c7*t!anE?d@?=@^^Qm#^h^FX+e z{xuXkX&T@Y0fkv6C$|pC6$ejEO-=Zi`X57Y<_lvZZYoj~Z!UKWWl%o=q?d z4%|tcOX5C*K2Y+tC{ZfYD-snh2PpN*fVPqEEVA+7X&V!>746Q7;RJg_9f+uGaS;;> z3z+q7@>72^zzXc^ljvdG6S7`_iDMVXGGnI16ieDWY{2uw-(&seKaP=1Wx7Lq=k;t$ zb&aask>U3By?DdNIsM1# z5=`w2c~63;@#zM9T%dyxKI`L!<+~H$g)ER9pPLqO`|fnNA(PK2vp)wb0>Y3vG5}L` zDM?xJooFb@NBgxl`XRlMEYcN47I%+ADJ$Su@FFu?L&)R)SQ2=9#L7V(;goN$q_oP? zg#SxgFMNUE71D8~JZcr@ax~e_Wxx!egH{CsC!UL7HIjGM#mZ%Z* zJ!5ZwzZTK3giVYDYxcHK@>~78gxPPD*NOY#@*gQI6dE#TNl=kRVA|T;ijIa^7Pmbq zkxYh+C%~!A%Qn&;W7+4SK}%`n853BrC4GnrY3=f%uPoYSkP+SV8g~{dnDnK4Su0C0 zL`fJqwLje8G@XH>hQ>I^Yp@oCSef$z($E=GD_Mt;^2%91v3eZGkGim}GS_$QYQD1Q z#;Z-)lbMWwfIw+w;NkqnjW6`0rY6hOqbIgL%fe{c^TiP@yX%;;M7Yi+R60v$i7}#d zaRS`$awvt~rVwlnD4$WWD|t_7 z`r$V$7zV;qQBnC5B8H=spN8&YE;*kNP1?buQC1;Wc=YHIj{Q5V=f$6Sqdlb-YDDfGh~D6>f0$3UCzvXlwC@O`$Hsc{;VD& ziz6}>02f8FCcXLC)6cpGj@+tYbK`SWHGA7-0SA@tS5^>d;+uAMc_8j)QnwVKF!95Z zYkN9#Np6fALQIG<#AL@!&S6Q2NWoqe(XRJ)bG_g)+DCS-CK5aG^FLdLK{BP!0z@}| zP-_U6EsN%nNB~D~)*404`S)M;*s%h@2SF`?AQHj5@QPyl_VLUgV4_`K96m{!FS*-G zuYq*?Qm5(T*bE7}MVld2ZEEgN$|a9I)TcU#p{@QR1~LL|?3|yX0&k`f$+|eZwfWt! z-9{LkX2g-ElFtxZ`_&2Emvrq14oJ4G=qI;d*x}KK53E$L$koWSKv;P-Bgp*P3yD(go-#aD618l!NZNSLrYsVowVn3ME5hQr^QG3}xx_q$(R%aQj^AxM{_6}U0)^gnH2h04VV>!0^FBdCMfU}V)cW-fY>d6WKtR9vi7*2O_ylTwkV~+N&|+M_W=^37XkX9 z?BZ^`xGY6~c++TQUOTLu;J7kefqx+d1dfnU3r73L@6P294&kt(4Kvr-5ovzXE`t0K zl*ZdUo6^G5E3QW}bQwdHtevp#;H`@m$oLs~LCNX0prPa6|E-^Ru9XIGt$l65G#LcwzRZuzK>;cI zNTz)r9A^2e#cHz@WEF--jH!?&%u4jS$Wq_l_A;?&6%sM3iyr>dO`wbmvl7GVzIDgk zF(cZ&A67G6ra*z@MG zqfShY^N)^-n&ti+pk2#z4v!QU)_l#Vz@4;KSs$m54KtOjf;PsnJQhp^w<}|cTf}G54c_Kj zUvAi~bs|~R{K#Q+e8jQ#dK8oT)fLXVv3Al(8dt$hpxOwcB}F7lWVGA?YGs^>F76ag zHu{PlJ$i_q0c|t7GcYc?iAzLc#nsvoW?t&fIBE#Foyi0`O=WH7(PgDHYak~qiq!Yijp&^Obub1qXGMW6!Vw>zWk`GaQ6 zwI?R`RQbdt!Z?RKaO)0_mtAdd+ZC?9)$5JX8Jp4UQQDpTWa%||o!Gppe>J{(EW`D% zj}@y1Ci>@kZNJ+~+tS*ard#&7{^lfSE7tWY%Z&?-eW&hrDCt{+1}Y6lSq!(i{1sJD zQZD!W{M2&>r>q|>{uY;%mzi7sm0wflZ3Z3d-6P1E+4jn*f!5Obv>PJJK_NJW-D2Ez zL~ii~gVV37*dgGOnuBC<4u7krDkXLy9HTf;FF1Maq?ENSJn@~Ox||MM5Gwv35x$C+ z@W;F4^;YQZnD`{s@2$hG7NPANBh_K?C`5Q*kl9h4MM)v6+W>q8Pr$K2gFVL6v*vWQ zYoFOYbab}zoD9#{T=?3|R3ZF~$09=93wCbEO-YUk$jCXN(kH%??&-8zg@qHEX9ZRt zQn_NaermLdMS7YAq}X8IC58~mfHpn|dvWynVjqA11>#fv^a&6lj`olBN9>#|1N}k; zjDMRNcZJmgpHy>982UJANcQr{g*}dm5uASy_cnGBB_@n_)3jxi_I0gXoET1Ed;}LrE zwgV~hZdzM!|I^c6;>!GXXlQ6q_~wR0T)8skZNsYGwsp}uO1|whhbZBY8$t{nW3pIx z;QTMqsLi(4>Z$x~pU{d49`cx87%$ou zr4=F_ai^urj}YuwSyI+)BA)xQVR*c5E33Sf(95HkKzkM z_XX+@Psnjd-nP;EmxU1YMbTZ4oA&6j_+DY|;sEueYCEx?ifJCml+LbY=nkQsnF_$C zH=I=N*fqNm_a82WH&~`QVhz}xLdiypzz4BD@N~h|s?1!MXFF3aeuqQFhn$3*b1V`B z;Yd-0P7AiKt*s^8{?oM-JBhU8kYEfDNiEYeRiVBrqTV>CTom$vHL@@Sj)vw&I1g!? z!}SzK>nLFyoU?MkzXXG?LM!48%Bf} zTy64w)vbc$dH*U7(|`2*&6_vaOTBT0@7^;C%o^wy@eYr8HWzO5AR9KU1_uk~MJfi# zz6%zdXtyebwi*-|jp8@D*z|OLo5nUhlw~8grzdDw9z8UV`l=r#S;=1-eq?k((lMNk z<+MU5On*hJl5(QSqjc|JZT*7d4yGMPpN{m|i0*Uf0fxbSTrtVsK+6j5r#1H{NW682 z>f-xRCPN$&TH`KBV&#STUw>_E7oPU)*&X;pwBF*WAF*wk-HynA9)b38571e}ede9n zlKS=b-785^>eV26vL}?Jgx!rRA#5o#|BxHNf6qD0nc4oV18ct8_Lr7lsv7(8Na#cz zHe~b0g8NIawM?#Dcrb+H>~!5)s2S064tDO!`^q`7Xymjq$cKLz3`i~DHCRN$wQuZ6 ztzPze$}Oo`yf1T@`lNRoF#;%FT$e!2X$B6Scrs8U0J~iVtAaWLdTZDE4P{US5Bx6u z`K@VpaO7z`zt&Bu1qy0VMeegy0|1J+td&A_Vz{;Hpwu6i3|$DOC}v(Bxg<~t*rSB` z{rx|CY4)T#gB4>2Px~6v5|tx3KufLnyjTd4{Hipw8y2g4Ah(0zbi=~p0`eEG7R%frj9IMkk& z3q)2zPXKuZXF$J;t+JM@hD~Mq^bB&!HWTfjr8T_A_}{sFaHm89(cyQ@=~aA1?cv%X z`$alx_a?$#R1aW~=2eX&rmdq^6Pn+9X?ZttOxvrdjASm7Y_R2qjMit)p8ZHD3?25a zw{wiARvk_`JQe>H(+|99cg{=LypsKS9`U9KhY7sQcj^mK8GVJsGK z@WY339O!Vd=JWq1O8|>II|C+FJ~`KlSc_FeMe?JY5K^2pVz%D)`1?H;|i6W|JQ zGG$G|WL%?WpFWA<9Gw;il(W{{90w9ptyVm|KJ$XaD`KVN`}_O*%Sx&}d$fC3iA-nK zbwBZNQQ$%Fw$>jUwr=mnjT^PvbkUxRJq>tXP$fx)Ai;niNBtGK%KdpV`o^oZ1R{#x zt^iWjOXU?T73mCj6BF}x7+fY902v6GFS4@?`zn)eub^XXn>Wv-Tz4}va(kwK-tL#^ zgj6vQc`>Shciv%7b4np`c;@S6Ef)z`TU}W0E|kI9vjry)hS(LyV{VpwPEZK~a>e*W zx(26DM9IGLj`1Lp?ey$f9o$Cmy7Lls9vxXE#m0?J(cR8*o<&3mns7|d%yci!3UChx zzF0E&yo``){!PhZKyqqqyq|tc)=QwgJAZ!p+m#dg!v1q;Uk0=~Vtf{07=rfc)2E8+ zuc9~K;SVI&1CkP0k~WQSw159BX;W#sOCVxuw)8AO#5}+hYKCsqWP6AkBkm8!ovxvw ztId6*g!XpcMl2NjHom>MzjzYC8COD}YppH>0SijN)=k{7EzM+mVTEO@Xei>r{R1L$XfN3cQO zb2-4Mr*|w>Wf2Vzq7M`dHClUUGuj{uAx*SQ_-oUqXLQnkRDza9Hj)GfTauE5T5Vp# z&7pn_;Ep$QJE9PI!az!x3<3!J8ga~U!xp>L%@l7)g>5T#1Ug2#fF~k4Bs?MIabDq* zQ^O#W`A6N4t6~|rQ)2v7+JA(u?-+zp#mCr9fbC=i19P<-Wc-k0k4~SMF^&gTJx^Pi zAYGmazKMST{!m`fgK*32(&kkK(9x66*qpv>3s)gttu}4Y$4s%eSGWUk%ZYrfD0Et< znWQWMVhGK^i^qvCBpM*ah=Re{zH|E+{Kv`FOw)biiqv$=Wuu0#@Lc??pI61H8yBnB zG&nTl+73>`4W<_~x`E{boVWa2rEfRVooGYLUX1B0upEP)EXYQabmxAH7!(XpA8lq-6E zC(C?P3L>Fg(L;#yN|a~t$NUX&of((s`|ca@b%SdDzDjnfv5h4yza-(sIv3s9YUgTq z+Si!>xIs`*KZ2~p*rXLCS*twKWN|Wrw;?0z?C#XwoH7!H78cgG$DWW+3vMYRmwUdr z^Q+qo;{waGh=q|y_9Wf=>e?Rk8{+l{la}dG4ddb%Bqy@PiPSX=|BT%{-J%Vc_INH@ zM^sXPU$S_R4p{|2_c14NX*!DqhXk%g_T~wGfJ+@CDNmWN@{@B z)shni2Pm2X9iwadUm6g3baAt?$kL^1}5 zs8GVKeudhlb}0TE2Z6${Z|&rQE_-wS$dxPYcitEKByzrdAM>rbztT89S5#?bd`QZB zJd!8T0V9$0283VDGC0p`3x04Dz(hb);h*vKpl3&LQmU0Wu4|xezeSEwUk&-da^uYE ze%unmdf&|UxdQOAtE(Z; zoa`ZD8A|D%u$ry29B+C zNy<;%z>#QndfP?sBgLdVgB`x0j3O3-SPIwc6o218o9Ft{Z)IpRk9dEJ#G5wXn>JQR zrHulNXNKarD&>ipwX*Fk+@jmy1v$q)M7hyWV+>U^T-=3l{!luF78$-0g8p@G<>qD`PtBpsU1$dG`k6SeqRw-*v;kb^N1*4eXI_%_7G2 zcJ6#9)@hIKJGDtcGcP{7m;UY5p6;c?Jw1N-Je#sJQnR*wO{;rmcgzl-YGyv{jFHoY zqg`!0`j{$PUfSC6?C#?W$C-qFF?ryWzR=`d(fbv9u4g3od*`~yZK3Nz!vV2@2Y2dh zJhptrq0uM6di49&xil{H3yPdmm7~@UXOB3 zQH0|Iz%yQa{N6uRb+u99t>Vg)ytrQv^2#Zr94tX?b@B%X1nki=aiX;5ulTya`rt9U zz9t6)o+|uovTn(e7A`I>JwlT99Xxpd#S0}eVthgN(a7Y_`JvQ(+~(6wlY;X+*0wlt zyx+m`g&PwmZO)Dg-?=s>e9QIyPZg&gS{HaGOKI`UvJO9D{T{i@_+wDzBclc;1%8hc zf~w}t=*Y2f2>S5FrU?7kk8xX?-EheZICSu2(2LxOdmSDPYuPLL)A!S`;N}-+giab@%fU-)Y-W^zS~b< z|18d7y~U}Z7hhFM%2j(g&)F1~yl3GRU)QJ$BUIfFZRcuLJ6xU-hrPsk;md-h9g@Rh z@A<2jmW_BnBY)4&m!033?Y{mlLw{e@hPdS8hr90vy+OFX%E zL4Lo0nvCNKT}JJUwwl=TRoI3ggZfI_6gNPZ!B8KUdyd&Sf46V!svQ^G#zbFetKDXe z!GPNQ-78jkKVDyUD*l^l0}X{8Yj=j`>DEPl=(^7-s@BUebWqW=&z*)^8(cUU9k8~| zq!;^=^BmgD8}P5+`hJ=9y}z8mrI=rEwJOAQ)W*klQ7;-qWmF!U*f;g7A%lPBt#G@R z)%h>G5B2=fj`nf*k%^y;O+%l2_glA6FX~0Z4`bAFLv-dETTk~WvixYc@6lfo{nhq5 z#Vrd`=`-q8r_}3ikMDfjIhkdre-3z6lQMVhdTwDw#hTu|d(YuI-k;}eeK;>(H+Xcv zjRO@e`{Tk_E1&YQte%#W9+_td1Gd9?a3t5R@WcS{W|`Y>5^C9`#*Iabtq)+ ziU5VA%io8le@JqFX47n3vSR&^v0j;7%+_auL#n8%#!&*J0*oqZH*cGS<1fks!Coz+)t(=pMxNV z_&aa0`m8p1|J5)(cEc_@6^8{2nuPSVf0OhkfAPn{^+&a>dV2E|rbO7Q$C^P%v-;CM zvXiO)^~lK5toUZV`}S3jb(`G@3}MTP)WwFOKYo-ROYd;bMvwRZ$iyCh_4G!>brhX{ zU9YjfKVNcr=HRxByr|aw5_8Hu{QUj%52w_A^w8w)U%Y)gz}L4$P||{?WpYNV863(Z zK|G5iNZJ6BZdRRkNPkh(HF2W)>O_wVKb`Ln?cP;wxu4>o>HE5D@&4Iw`uaiJ)F!3ArmIwj*V)|# zRfv6QE*Db129~^NV9;;U&{yh8|JO^Vp`0*L`@cVW$ELoo{`--9{{PQZ*Qmp-VE=^C S8*ew@Yn0`9i*WNfoBt1QARXTT literal 0 HcmV?d00001 diff --git a/VGA_formal_results.png b/VGA_formal_results.png new file mode 100644 index 0000000000000000000000000000000000000000..13f96f5a73b61a232825c7c9137081ea3db487f4 GIT binary patch literal 102793 zcmaI7by$^M_brToph!!JluEaB2}pORv~+h#2}qZ;)TX47?(R*8fON-}?yj?V-tT>W z-#O>H&OcnRx%XXb&N0UvbF2^rIdL=;LKHYSI5bHK5hXY{#7}T=PmrD=flpMWj_Tmx z$lxSJKB~B-?k>1HX{&orUmeVCHx~-28Z6<*EX(=bFiI_8S34I~pPJFI?lpOryR$d-Dxb=${|KLAk@OPyhSZvp4(< zOB~kYr+X}~{ZyjyKI|lomdS-Xpgs6=F-vioz4GT=qgbr*X{1c_Pb2(bc69rty-((^XrhsPd$PrBVZAyx(+uO#!op(hdcPBV#<3|*L9IDe?;XAS*AQ;^&j1QUXtofCq zh{MkXS&|p_BEG>O$||hz%Ur>-S=^yg>=_!HP`^&pS&!hN`2%nG+%b z`_L=}EP=BPCTvtBlO{aYt-rgUm+t*{Jr2nFL}HSYX(2u~7#@%-)D?yNG97hwPM>?& zgICY_un}$VX{_so{qnLwLxaaCd&E!*$MH5DQ@PR6TQ*sxoqu!iWF!5asa4G0{=Lsp zV(E!%%Y7wWIF#~oR^Df0-xqJnd24_FO|%R)N>DG%S}ah!-lf@}c&_$%B352OMdjO) z?a_V3^F<;c;{gdRB#KGxBX9mlCE*r|&jlEW{ zpg%Q5^m=~=VY+S!GL!?p{y}aJqgZ?+##a?N7pm(2BGq6TST6O}V?yuNZlBu`AH+7M zht-jjfJEIU*3sV!j{X9-*#b{9N^QSNa1Dmy4!~hxL|r%VaM)--e{WI*6mU?&(Q zIn3D5U?a0h*=O>IhNns7u{4qCT+T(hk|5?B>gTK0*x5PCT!soeg5#26PLgva4TV2& zdfafiZ=;6cv)li= zAlU6*N`B=LaA09Ah>`OBI+NuLVJT+Msgr79vSQPGbo zs&C@upj?Vfi7p;nwvEsCH~(E-qmEMlW6AD^!@UD z#*|-6B9J$p>>@LvOc5sl6qFHWi9A*rnJyXzh9_irVJi(JnORxpH$RoOFswO!VPC$5 zQX*hROCXM)9{q-;!w$Ue@}6DhAuH}ze;P4LGhrPAAHe$WLiD zJ5abRZvM_uyL}>%lS84AAj*?Q$?@KPghw-hG<^hAK|?;gPG=oBPyWYpmrS^GwZCJF z8AX*}P?B5qbG>EGdXA$&>z#XHQ6V)AO>SOZ;{AO)Z%wnhhk%T#D*Qd17N_m{W`yoz zz0kn}gqTRcJ4V@bcx*`^c#Q{;kI^PKdjpC$a8I9(oc!IdZ}+*WY$Z<_`aLxz?|AZ= z!zOiJP7w#<_8Hl9ICZU~Tg~SD9QPbODAdeGSIAoSOlN29LW_-qZFF*>)8}URA;?&B zcfLHHA`D+qSGOndZ0B+j!@IXIp3clFKV8PiBOO&ZFEbMY68HXE+*a|^CmELLj`BV8 zxuM)<*9n1T*bAoS-Wf-HE{9Vtd`4Y(%Al>d`1sKZ7c|_BjSC&q|9M!V^bzPV@!bCP zbyjW<20TNqd4-kvNqC3>yDSCv^GZu-%`3g7G8=}%tjF}@X>1sCir*MWD7W4*NubyL z26K;nO{<`%F74$-OeL4v;X;(a&SY>?!@$O*sm--G%U;Cnl=d=j*zWEN@|IJwAX(e* z9O*Lp7ov)XH+RK)Qyt){=Faa?{Q=UF$4Ji3maH}~(y7sBm$$zmLNB-2a8N2>8%W?7 zpVkm4dSOn0RLwrQJ6-cMojYm5<*uO`5Kl(!y1}k4L7IS*vRZGWV4;!3OeF08*dMn2t>U#Hl>=zP7H`F6~ii*Rz5j!+AG$!D|zX@eVHIw`|E5BVj-Do&e z{fnDIeCVe}EbL3p|K8rWOO;W*hx^z6N0V^JD!&K$KU#^fWI|XY`ah>;B_xdk=za}# zX{olH!bS(=rGj*hI{=*aXzN&m{(uhE0I{L#;e99#7E0f@H@;MX@rlP z4l8OYN93AEHQ9s~8WQk7|K}c8eLtxACS+zBEZ(NHQl#}Q*H=u(Jf71&Or*neWkX%F zydeNGTvRy=t&oAZU$Y|mKT%N?R>UEKew=a!KNRD*sVk{=)V0O<(>>x61s@+g-62Xf z<0)BM-(fcA5@nj)h^s1C-C*eO!Tq^y#h1xYF-y#1QJK%ZRb^KW|3DeR)Ii@x@f$f-x~cZfm+=>TX8b)Ot zW>ERZg~pvKlGymiJ8DkgFmw_m^A4MWQq<kE8%SgTnJX}H z1@0vn8VFln?~%l~eKtfw#_An1c&Vzg@YZ-6QzHORH;P2tFUu@rkiX60>=W|X(R6re zfd%4%21_%oO}J-E_MxMW_1USQw1+r`$C7{?7f}z4)z11gw8mZ=|LLeR+5+<^JF%#$ zoesv7vw}(l;8uaj{qiyyqW>%heZ4kbx_RafQh`yb!q0CiERnfe7&>_A!Te4c8-PFp zE_-z4S-A*3;bW}T$t*5bfoA|Q)J5+GiHLng&gu~CHsz{-i#$Z%Nvj(M<0cP`TsBuP zFN{GvBMVhUR(_{tveI9@^Yb@%VPRn}?4+u;R#{zLoQsGu-sKgEA`LCAkRndS41FPs zoN5k&pt?FfbLT{+0@vVm#Os2R;~8Y40F;+l%e!DPX;}g z^_)?qreFKAFtHYR1($5J45e=qhrUbo(3BSET=Y2i(^>YJ{B~t3D$J>cWe*fu5N_?8 zdE{S5KtI!K{TZwr@pSofBh$5Z+x~E(*K0!Yr1UZN#`xxU3AQpXewaOAWaLwups96_ zBhtC9PTOZq-G9?=LU3M(%WWl~Q;70N4_lEL`Wn*x`F8Q(js(OJi{(^FPPKFD!L2)f z7#>3hGb$1i5;YC_VWq$cJe4>HsHo_X z+&&}LON1v0jCykBs_au9U+Uee4Ts?wAjq#o4Y^9kxi<}9#6r1#o9>r5LCG1y=7MRl z`eD|*KgZ2MPYi(#Tae0*KS#X1yt@zLDjhmJWVUTS!}B=b%`7YoEmALa*qwgga(`B; ztfF$-2E_yG&mV#GrI7}_I2@Wx@XEFclV82s?lk@6%CyrWr?V!W=2OH?G$y;z|!w^w9eq zU));O$AW|RHd>yG>Y!- zOHZV1!)zmEnzt*M-UA)56Z`vH(M18zZyA|oJ6lvF%b~KzI02|gA87nn;-Dfu?~;PT zi?Z^O-bp4}@rd)FLGogqz37>SSH94$y&^SG-k8aeyuH5DX;z_?^zc9i{TPr44CX%w zl|-kBDVn?E3L2dD_bQP3>OY$sUTQA3a4}Y`j)*~32d_^f zA7?|2Pz&ut9d`EaD~Uxijpmm{o>UF3C^)2{Ci=Lgx!9qfGmWmtEv-dGVXAb=dB~q=2c$J? zzr5O#34Dd!W><2;ANY z#bULzid6+Sj`&lNnbahqSqq`)^A8{F$gyeGjh_by;o##NCR5H>MT#gvnNe{>+nsgj z2eOL?$r1;~=H^}uGCSv2G{t?8x1l=U)MZQ;K)wRvhYcCdv#&e)gh+uf7W*~IDMp>Q z3!H5wc{P>PI9;+iiU=11X+3IAEXk5$7s@4#Dwd3)Bkcp+)S+QJUkD0=*4oNQRYnZx zcYdcFMh_BRvJ>|%UARBF^%g)wJ0r(_4y31c{qM=i7Vy4p$Y+(-pUVkAsf&sEjK`!a z;_iM`99c?@Vr*>8ceNfn-mfzSy3+BfDRF1#GvX)Tqoc9F@gX9908*YPC@OwrCg`#! z0v{EqpiDUfDE}t(=56#d&_&I07#bP^1n5{{F4sU6RitKQWJGmuNQ1dg*T}LFQp8KG zaG?hp0Nq1`9Q)%q8<8o?C>sbMb8{LH%5ya!7b_}QJo%TxKc>#@V50;CcYk(+sOKN3 zw9zKXU`Ijostc;BszxzptP)yU_=PetBiFu5<&3gL93MMqPfs>5yHL1oB@ z_WLTg=B|uD(h5RdJ(g1?;zD7U{l1UI;qk`6Or1Ehr9M-xg*aj(vW~aKEUoyUJVI+P9^g*P9c&yI37-r-;>1 zhtL~XVSznS<5EH54wb`zpm-ttg%fd-_@OkuDB?!o~ulK95XG^ znTBP4VdPvxfBA~kUA)sX*odL95cAokW~~haY((#8YilbryTp{U_LTAGx5E*yn@`Wr z%k9^`^bZW|TZ;N7$!wUpuSIaWU2P;5l$NHSf6>x<&1o}FmOgUwN6Yrf;d-omh1u9! zN=nK)yA^l@1O%{dTtp^)F%-pmtt0~#0BcftoJ05bZT|eyg2vOSGt0)Jz2+DsFiS$I zNaeEk^Ywk=P)`J6t*1wf!+KUkQ4tHQ)%m&0`ToK{iB4mk{TkBR+M0@*T7~nD+V;+l zDe9+r-9&)NjCw6HK)l`hol~q;8@au00S5|}nWg30=1>ZdfI~w=r<>ea!|<7UU?;dG zll}2@<<=>Si@KCnm#Mt2k>EI`0-OY6 zMF64+3|h~EIg@Cue++^E<>U=d9d{_LD>n6#D5I&sVJFU9&DP()`g1kba9lOAEJ^f4Oo;>0l6eQy z2N0}irsh*r0dBi+Rv1&38%cb8e0WXu=d=&fvho-Z>sSGY)TXSAeA<>A>0r+*RZnTW z1@*G-3f9Y1qKu3$!ygjshIuh%Khj$>M|1S8qrqSA#!N3pWKk0Ywil|>fy=IM4(V!7 zW!JmEKXUWinc@wGzOfmDq3QIu z^ra{N?A+Ye&W`ZZSqBcqNXj5ptOU8+R!$Hp*Bn;MphqP7@Ffnc zzFLWPUr)qqb8G93+pCjrod)o}aARh1tfs@5QP7zdFW!xf4OBEV`~7)tM%|{~*=kGB zA0_SVzXZJL8J`Tx{Ob>C1c8!e`4TZJD348oU?_`Mh{;n*@p@{MdJ)c$#a0UMwHq@j zlp;@FvF;c7D-o5trzVt+F{l@v@ZJKv9HpJMNvYhfAs=>B3QKgmclSg`HWd6nO5F#Q z8i<5SlJE!}pT7FMbn|9KUALpQ&iv1K@TVY8qUM!6Dh|!GVDoXMBm*zmzB@M>Dz{%Jx~Y_V?nniWu10WFiOu?(OANRec*CX4Ia_0V}$(Vf?p2 z_ea=9!#J;u>SV{$^i_8f5Z+Dq*E<0fg@v?)goOLk=PtSCp9zo}O7PXlfE){e8f5Nt zxgoN#aqOA7nHgDZ-{{OtAD|5{pw9w?fJ9!wENYTl@BsqDih|aIT$JZKLaeT?4%p%W zS?T2JIzBxu0niw(p`_Aj>vsoRt{Iz@rR5Is)M}?+JJ~=2Lv~%=kL&9%fk8p9NJ*KR zbk)=Xv#9?zM6PksYt@8TnvP&c$?NqMwzNQIXFsW^=73-R{89T;swc0bLkz@tK-2*t zpRuYLtr;Gcb2(U)1)uv0!l|l;K-U11uLSV(*eiBXb#;<=-nC;awjEx%Ib7HzFzDTb zwd9Eu*3%O(9fqWz@q-fP#KSP2@QC6bb`CF3A@{B2jxSkT_Gg~Y&tvK``uYhqJkVN< zVctuf{6S)jeaBik7`ZNVvw0UJrSVsHgq3(d9cS zDb>{C$NewJUsSt`5g4**;s-jBtluFuY%1WM=F=+m^14#iva?aYppAPtGZO%0^dO16aR9wBa(S;_hbigmim3uUKt-IMqy6yEsLe1mi+W;0MOjD38EP*( zP9HuGhZ5p+e04KG$68H02DmaYG4XtzJ%XrWuMtalWF&T2ckWX@kU|X&4VXm9A=Y!C z$O1rPXJRWc7!%(m$*^#6sQpmNp_Y0xA_Kts$`hhMjVMD&i-P1IP+`Rpw#F5p z%?J_?z=xQ)IA}CI`Q_>H42_J|TW&!2-F2Hp^J*V}1qdI2!Q|NOHP#O9$OE>SOEnAi zC-^{+2EnR5^)7KhK~+aKpPJ3I&rWBGGTJP!^kTF4qR&L<_^M0zgEh$8H~f3ryyB-l z_QzY%LP@T3oT2cANXb0hKicfYXbKz8Mq3U^cyHp~IYLk`_8AIk}lOKPtb0#=bBf%4W zqN84pn^v_?Rg^?fB0DO#u=ckyuaGv-VEH7et^_YSNV>Pj?LB*};2fD_nroB;FuqD+9upiWmLbrFTr zmLJXQD)l}8{4#d@yNq&9RTmjHgqu{OM`5fA8|Obm1vf4AX*R-OVnn4F7SbHs-sJC5>B!K5wXvI`2Z#@Xr}HVEV6H|Rf2NN^EBDjfkYaMFRcOh&isW2&ka zvhaxD-LNbtvTW=&HcnIYS3qZvd87RKVPL93E;0>BmDUI*j(Bj z2&fu$xiKrBd@;o_NY_0CF6n6L=&okc@7&es^?KSb7B>VQR%$~cBUV@u#$#5H{N5xm z=?&xSc?2x*)@BhrX}KEm8Q7?yE4DUoA9W+|vlACP-d(`oXuDY(??-qNp-Kn8JFUh8 zgW){FlrOtPc@gZC_0d*6NO@9)T2oj1yIsw3ttoQ92>C0z`&yf5bD;ks~C7-8oSg!)6mCEnc zFdA9V#Ldd!%J2>Ivq7>{ATI|`cv%1FC^N6?epX3MsD7K#T@OQ?dXpp>0ePB~jC5ei z(9dVMPXRO6A8Lp|ML$(i!ftoAV%eHVCGULt42gZ)(rfyBfmG>RCy#18zlG)ghvrAA z0+aO622CL;`EL=ef+}hY;*TEW(xsL=|1ax(b_OhO_D@FmB-_dh2#7=)=cTT9-TCG| zGSRh5%c94R^-Ddsn)z1W^opJ9MiE{#K`46TNvyIiAI2(ol$qEY^Txk{{)P3+_4yF# z=zXQ_FJ@8HA0H;-Qc^f?dQBWzkrY7lUNLB1uf;#eCY!*hBgNqX3w|v-9xU>9cfLLr zw5nj_Ra29Y#_Rey*~CDV82n2t8&m>j0&?sS%x8$B&S`)@@u!Qcssi=|7;ApvmywfU zBZumoK6AY>GtfT#r{$%kr9}zxwy?AWlWgQ%?irI#=KO*J1JKw6RLp4mV z8OgZ;xw0)6-969{v1~+mZzN=7$sDEWE4~`gKpRWsuw35Zg%(CjQGy&{<>2YLyhMCi z9@0UyQ!f$tB4Y3Pr|9JcA>%1f%8O{PpikQoj$0P^#ujDk@??rkEQ8jg;2&Va3wf<8VXQSh?OhIXM}`YJ=qmpr+G% zD)-s>x04wg=U6qxI}IORfq$*f&y1g5T*USjzm4x7otf+$dcCmge$K5TYV2kd_cBjz ztpUByDIt37y@iG4^PqP|tQe$b<%4h`BHvq{1eu)zbI9CSFAPmgoSj7zH~oG6aWnw; zHzxARnJv3&xB8Lk>GB^= z=1?HI9(2{#g?KI@7j6EfzzT2pbUK^NZT4rKIRk?)E0fMuQ3c0nC|Q*&Qdy%<>@llO zENNfaP^KAe^s{?j4d|_2_&i`tH@Y%;w~A86b{||QD=A3`f4%{~7b)lE*KY1eVJUxlq*ES6pP+dAuHx3nY(X8g?@ z1`r|SnM@NJ%H#%HWo`Ee4;l5sNC+Eekp(?}7Z5p7jBhRuQuuOp!!~?EGuul#EJNH# zm+sGfJ4Vo|<1a|fo{YXVn8+gA{7pfMQ%K9#MV%0^ex4I%TImq=_{vC{0UcXeRYJP) zm~JwD@o@8SF8?Y_Y>drczwKv3O|*tna8?#3|9IAg7=FvYS~75cH!5ci7eO9x#RY zaAieRX(ywvfjweU15$mk3Kg|U?661$W#u4im_1R7F`e2=;#WU24X|p`pfx8}GY#=8 znf(3zv~W>QwER?kcCzDf^LmfxdzV2?YjU`zS#WSq>TT9`nlWt1`G$)C^^n!)VJA++ z4;5`b^o~+y!)rY5F(DyBGct3s@dbrQ zC9;eukeUVU|7aj!1_jTsqVR)3tM;g?WKSbklrk;(fEEx=%qZOPQ^Qa9nznj4sK4ju z`Ra`vc{Hh`gfKhwF~zqIU-fny(E0ia9;8KfUv{95jP z8%tk4#^ZlJeq$m!VpP%8c<@1HyrZJ{s$>MgkthhX(Ql)BsTznspZ^>Q!2G-t23-RR z6aXDqBQ5+^RDjsEp+WRL<_q8XwZTQ97PSB(hNbI2wQl?Mu+2(F3}ZXH?;~FOj-Dqe zOSdAm!h?&WqnJ!BmszLv07~>959RW?=K}#=`+uEqYWSZM3QB_}y#1>rJr7U%nL8P9 z8Qrcg+yR4@yc2KNE}%)3uIc}r%?OyFGJox{fEG_xwNKEtRz?*f{+skg*eSH*?cEzZ z`1;bc<^b~i@=H)F|6#$qH6vOOuLX=uGa_;LjF0E=hR#&3mcb&vn(-W zCq_c1ciZPg0z7EaA}Qn)$`{*;QI6UBVR)C})H3J)>015Y4X3A3i$*pZ4(>fYhV#3m zsgvi)xr&NvLhk0z6Sh3Ms$WL={d09I;LnjVtsCPMe4CU!$-k0CwqZ;WhbK;AzeghQ zoAhy2spg<0W_{}prx+0qD^kea(a#`;hI1TlBn>5onn3sm4MEM?avcfqSZ00IvuO~> z{AcwH96v<4z3rjz)_}9(h<4jsIn)S`ro+X_4@P*gB(2V0 zy#U4@h|hk~Rmt#&59oJCWq`C#@iuUIZPM%AuhJpH`(Bm&hB7{CE0aS>mH2LZ@go8W zQ_JITWBY*JfhtcfePck+J3iwy2Q%G`)FY$Yp6GWHYPnl-Xfjf$zxTXnBv8Eg(>s|x z5fMZ$Wn!Heq07AlM@EEm-iI5Lj5;=kR`e)tZeMpA@sKkv=On)ue0pS@aF1EE*-wuodIUs1^+fI5nPP(vZw7WAZe)@gQjFutq zHDWa@3^l%bj^t++DEDwkkmQ-*M0wJd=R_0)Jij>uzou)H-Q*#^74)QyjVXM;J{V{r z3oZ_`amw1Y-4;#lHaQlaXQ29Jo z?S}cDbWa%5<$TzPWQOQ`{QT5nx;7*9(k;B@{z*&$4utnALx2cfQCUZn92;`(%9qH0 z?kX)jMMI0Cq^T(7?1jL~S@KT*x^8A}00`5)IWZF|>WZ4m(kv-d9!K!q384lA7@ori z-Un!rqyiy3?(2^3Vq)UJY}Ib72?sj9e$`C+Xt6hxv$bBm%v7d~vb;;I_zTfYW==#|V13Jc>BaVkw_KF{*lI&7~$_e>UY)}%=? z@>5~)#Y2se$>D0YCCx>bt&X2iRp-C0$Box}sMa%v1=-*scnc&L^Pe6Ggn+noD;ek# zNp1B$v0hy8fy24H4I3Hx_@Y~U1~_DWj-e0FzecZM-5)nDncA5JFWvuo$S#w$ixl$+ ziJ}BJ8G2J+dd7z_azZi_91$>l!iP@6({X>X2SK z!LTf9oTs<+HpRkd!y5|>#NY}5 z8D7C+Q>DO0e-vNcwpc>kVy1Mx3Yd4RN`1Zx2SsM(1Eb-u_0=3TV6m$(yuo|)!2_s} zl|@V8{ACK>rCBnP4En zITOl`yPqeGV26A&Z(VFJ7&m?I#Sif~HwSKHO6-tjcaq38yVL3C!;nV7hc>e0+zN*) z2Z*NwzH>Eu)Sdu8U_04`w5qtq8PrY-MEVacce~RS(b|;$x%pi$pNq}SjylKZ&VDKE zmxgV6$@)rgvF-I8#sLJHafDqJkv4Zbdhic)hy4nVj3{?IdaLVZgTc}z1=Bx?E4lBQn@B2z0&4Yq!P+ko_|WuL~8jHae=;6c+* z=7-Fkuz~RH&%`Y9xv>|m}18pX>sfje})o2}B(iD|B#`@QY` zl?AVZv6)H#5oV2v2?;)k+vPc<0)KkxA?FGYdV;Y*@@7?QEO^?Rpvc4XsEgxP?={jj z_t@68Jz`?3xr!wJAoSRgIjh+^2*}B?xv7!NPH}skDBwRYvxITb($RkHD=_?Jt^T;S z#KbyWCDJD`qE<^a@smYrS>{m}u=uF$sDWSVZaWj^z-mjCJ^~(~4`RwcaBMtudFKsO zNf?v%>fiEMpir-Jp=a9tu-4+NG#(Fp^$>M>h6d6Tj8rT(iuAlA8X5>?PL5Fd!!YLe zTK?E-20Y|3@z(|cvpDeV{VCDxelfDQGJh3D#Ooci*y7D5n_Zp>8S&Y72lC6|E2RBj zZP&mC>j0XJ9;hJ>?o-X?FBIt>E1I|756Bk{L6LT67B@Nv&bITr8>d^9seE1uPCOCd z#WfTsS*LK8yX23{NxhiNCCDmHft85m=V}ky?yK* z@?u_P<%^NCeA|4(@g6j*g(fUj)mNlZxcuU`zXfi&^&RlK3~-+N z3VsDnZ|&wk!@xv+baQ95SmmqDI0AOqJX*KGwu}4%U(dzO)trG#1aBOi?Hx;4@h`xW z%jn0&okeNfNRpiJfBpJ(iR$mfAEn#Y+ zxAAi0V`F{y2*_7p*8mWV{{H>DIvQ;V#O$$Yg(&mn@bE|n;1u7R;_Wqd1iOzw(E`rd zRrf{YhdI^9nIPVM6seBjKY7VjBTUcXn`<60!d$N%=?_BWc>NV0A9y;zXF!-?cQQ{m zxW9{*_@-gy*C`%#(sANJ8MRRt4*d)!hkmehufW;lAAVSB*X|k+esth z{z9ZPrCII3T|SLydwVDj^bl)nv;^ZUbDqMi`TnZ+I`SiEk;DR$H)nE%MMY(|34Ez9 zFI3!G`Rsy2k6A*)!T?0)Gy%XTFDi9af=U~>Z4Y{Tum zO%F`d^t$uk3<&c3ky~ArFB-tiHTzolq5%*!u%RiN+U|V*DLN^)oU!WDGw163LLn}k zfOdGa=!&f@=I&^m}w-9-%hd za))k*iW#dF$F4Y_%mVs?;6E;)RR`e}@WuN(wy5r+pwzG z%EaD~!I+{ustR2-9(Q8$4>L*F81P4P;dCH?#3mpj{5-U+8fy$e4iy3;sM3~WZ2nID zB@bloFQ;4}p1WeY!+??oKnHja0^{itPx|rs<;j*|y<;zlcpSp-4v6)yDmI}Uqwpu= zFpB7B3=Ec+_pg11B2t<~Y(#x+)rp=zZZiVQ{3Ewp%eJ+1Y>kS``I?^B7OnH-5a^u% zXe+(FUI@%Ircbp#2wN!8v|P>(6kBR`%AfDU9`PKw{x@Y`gQ;HA6{n9hIra)j`f~eD zo|rxypL6_&G~ftPRMMvR#e37`XL#*7p%S0HLwG>SD@?A`A@Vi=wr-|bncCBk%uSrR zsMmVe1#`NPPAEXnr`Am-~V_)u<5Mnv>qQArL`@r*LLe)Sk0G3 zJ{p1p4eXYe-!SUhG+)#oF*67hsWF;@T^@^9ef;P9ylrQZ!%t6qJo#@7Y4N*PI-&U` zg+I&7pNyn<##{qyY;IF=xGBUt6z%mNEaI?Zz5AVX2Y~fb(xEd|_MPjjm!howvF^Yt%o)6%b5? zf^>MSeD)yHblto$ATayChl)r+fio562qXJf^r2aY{-pQ8=jZ5h>HJi_2~ogFB&TXj zK|#?yT{E<^^za6sNjEpQ;c-_0wmdm7upNT#@^Rsc64O(L2G!=FAli4CBtmgs^-_ll<*VTwRtLPzKo2(O4@<^zB061$Bs*BXI zPy+q}-c)*jEemK>Fi4BXR>D?Kz~|&V3arFn7}IX1d*5f^5;q*9=1&J)(57)prya2E z`6`bEuNw^Dk7rHJ1)(4bzNL59Z&|F_j3Syuq{E`DaHLp4A8Ra~0ycZCG$RB7mJf-PKVQc4&cNi6L070BpDINqo4v2}>of+N&d>-Pd?Gg%EL#{16uw78<_%g3hL!04KN|v#lz;fIN@ZJeV+>-qN>KHuG988!>{EoG|g?*kzbA(Xt94VNk7TSjeGJ$o*OuWIa0 z-JS!vxvNKnmCdMq%}h)~g%@&0ie+)76Q>PXoH3Q2UF-R*|wXYHm&Po^Y{j zgR2UPuCA^O6wpE#fDV5~v zrTu~DgVYbM_h&t#RjE46tw4WsOVxl-gU1Vnf{)fl-1JWtu!4H%uzC^ zoqJ+yV`64jmjCyZhnVMA0${WAHk|&#!WzS`NFMI|A8?}_8?~qRT;Sp1?L6kE&K7!j zr=&M6A_BD%H;!t3ngX;b-%a4GUR(qQQlb;llKCt>xxT(G<0aAvq#x|z6_As6`J|$wvS}YLW^bJzl|W1L__S^Cy}a(4PWEr&;yh)_#(F!R)-p5e zgXPB}FvF}}O6W8CmLya*x3{`|t8qzxOw1sq+R-?dEte;ZiHPIylr{%GUMl2VJG4ot z1dfl32%V1vTG;}?gEXIW53R`xTP#d>|JoD~OerHRSXG=9T#F6RcnTdx~#m!@y` zzE4u+N;^5IcwYtxebmq(Sn|GAM8_vtVJf{x3+j~nWPwt2pe<=(fwHXgwD!}l-NnkN z9BI7hX#O+SJ#xyUD{vF*DBL|6f^q%wxmPZYX{)Pio@E|P@v4)k=x8h8T^^9Ofl#j2 zd__bA!bm1FGkd?ovA({feK~EXaMA*AV^};#rQ+ADW%GZ%0GvJophJB3mL*lR{-jTb zE9%GJo!3L9l8g4ut?3_{d0``4UT0zVN^?0uixm6%K3n#N1qy?bn1`TYJW5X>@nL zJ&Dkf5fDuL{3D+EeVw%EHmNjtHu|m?u?iOYnSzSq_)z#F%s&aE`jc$N%5S74Si}y6 zBS=~yPa=Spr>AG2L!`PNTCr0An}$i_JqN8p`NYMQl(%KNy1HTNeTReCE}!eSd}C@f zri+pK0)Kqxtasf(ZPEVqcwSC6VZBZ@S@AttL)b{L4;hz z(3Qy4vVmKtz4`_ulBTs%sethfBmK7UF@kS0l${op2IZ)F_vf(x#uW$yUnGQ+&0&Lu zR?gpxW4_uYf~Dhc68p+fHOoF(|2^ZGx52nWFo~0TYEg}nG}y>qRhv=vx^}J+T==`O zivO9zu`_qtk0F!r9{Js}%r^to=Kd*ZSW8tGdVWi;jL#O?88H?Q^h&3)RcMn~Jt%8` zX@vHaE*A=#NgSSe`==m9LH!r@^Ja|($T6Y)(;PNMF9V%Es zdC9D;jRIrBVHLIV{iPJQL*G&?+*cuAzslvU6ihK4f(>VL^IcQ5%?ALh^FB+>Ac=?nOdgFm?;N@D<;T%hePVFqLq$c^X9>vSADLnK zQ(r>%tgE}H!YTOMGsi)Vc*5ZAN^D`c#7=+{RA+Z7*m*wrJ6$J}L_+8QEhN zBg%(UcpywfjJU9{R^s9k#wHgc+V#oazaa@&joo}?CUyqz>+4SxXmM1gAUWj)0g^Ar z6=>t~RcN!`z5{jjBV4Yr3O>eATZ7FkUs|;>oaW9|; z#nzm=0Au|R4hH}ApCX}=L{ItWBE?yf!r_`BA?7q@?1Z4;OG?TKWhyZ9S#?`+c;F^K zq8FDa<;!R2C_ig$;i{zqXx6U-ZzFNzr6e_eJU6b60jY(@_#;Rt6O7a&Lqq%Jy%vsg zp|){+^EOeO6o1yh*5Wsb1EV`<
O%39)|S2Zbfb4CT2+oD&q5eZR6z6AmJV@CWt zmOokL>NL8r;NSf@b9Y^m2p#t0pj3(GImU}@Db3E64se~*Sp#;{oqR6GO~RHd7{W(P zP{7D3yVjg|o{xG^iCe^@6ixOlFE5+2hup7~&+Sc2ablB@h^cKlb#%z=E;b&_>oxNx zYSMS2{umMw6^mhe6P@r!ZI+jZhW{GN-(PsOdcp$t1U4jEIyVI;+`qkwRiDt_kBxmcO6bGk z*{m;_wCLpH3f5+%^4c~+{0K^eqNC_D|t5Lav{U$1H zH3}bzTpA;ErL2<6^$p1DkC;E$-p2#eb!Zd`bj1k-vt9i>U`K3(Xt|@9l9G@$v0lD+ zhO~JDbY_+Zn3e~WpztRgAEPwzG*UAO2;1tB8W`ltdog{)9Nns-*GdMv5g0^8 z#my}b1m7eYR?d9H;ENh%Z>QmAB(GA2bCONAtakjztN zrG!kOLZ%{ALX;35bCM8}WS*1E^ZZ}ueLwH@`~F>5SEW49{ha%pz1LoA?S0?At(Ed3 zKt;_bG^<`e2>$3W3ohy0ID`KONn{(uE>Aew3a+;2eDoLR`xKy{(K1=%e z`aZj#XxEnkySnCP#oAy4DyrY#1}1RZ zbgq8FQ#~d2=y7bj^3nZLN7{S>e}PkyR_vt0K<#fH`NaL z20kcd+PWd@YqXoi1=@D)nRvfy`xN!V4Gl*DcIo!)@mG8P;(5C7?NyTL0s@UwReNbR z9L==9sD5b&1JhldwDKYA3s+p|-#I;OdS~=Z{Z*-TVrEJJhkRh%F^$GPJEwIjNq%L1 zmVoll)n54o_$Gc#eA4PN9sJ~p0wUnj(%O68-dC+wtUFBvsD9(*hSPeOGwIS&S<2*m zqb+C=daPFA|CeWacaVrv*rFOo|4#m0rIEi9zJ<`(nf8c zNaWrK?fY`^?6&j5T34DcFZ7AoiS4!RuinSYOFxzKT>vB$Qk_oHj+P6JB}7XJ5a*$+#!uS-oilKYMVRYp+UIx4w0bwtEIF%GUTpr=mmF=)cQz5O&-A?A+%~N4?&T2R8qFXUyuojbS@0Yx(4j zfhb-+hW=6%ZY^_V;y>TsI6SvN^R2#K@r+M!laMjLtsISM=6s!PYm2Sz)zw;B%|A1Z z`BGBa0!|l~Kk_`4a%a(P1L&!f32JmqJ4&LOgmRXsvmOM$LN+{q z@UdCjJj;sa0w3yxg9p#@R7ck=Ug>*KL|30={H?XQa(Zv>&#V<%>b|N20_-|(e1+;h z&{C{d8YP%SEw8tJ%!-f{@~fUcoV-(- zzdb7pW$%=phJShL%~Gg0>KxYGEIvEf-u@yd!HXeg=|D+|`UvHx4bYU9zyD~bomje7 z3To&Ui!4!T#m*`X_2h>B-aCq}T7Uj9{mi~`m`Nmn*H$+?s1U%L2$l)qn$-q|Za zm!>S_UpX{>coolwhMeiOyl>s)#BaTC&vW~Hr@?fGU(aU2vvYz$Q{ocDQfLy?tW|3Ke=wMB*>UuaS#_t-j!7E)!Z#Zn^#Q>j3pPpy}6<> zrlh1q-#K|CU-+qN--1fg1y||St22MyOk%St${XuTr%7GYWvcvgB~?g0G5|0PwFs%$ zlNzsDp*)vQZqN~7z4H9rtk)`q7DhwCrk6I~OoZK|*Ui2-QFq#O*MdYS!y>)iTsxHv z<5UciFa4;NoO?Ke$U}9!2HWT6aY4 zN4Zz}pXnMumI6Ab*}%pDJNMw|_`TgnDN9@f9PvKYVZA2fQzRP^nS7ZgpE-<4$TocXJnd1Dms{t!?Ka;U zuK22(H7ob!Sd!~aYolk54AewUxR0Cb=DjHC?fXdi72e&MyNl}DcZyTGfjoUxKI3Mu zlRQtP_i&i|)jW>xb;U8apW6EOXHMknKYIl0lK8Fl57|^);lY;2rmyEW%T&8`wWRzy z*ZJfI6vUXAv2l|~2KTMyaMS!V|NGKDBGnj3j}oQHtk3MKB!1A)_<9H}YyT~OtrX6q z2j)Dv88`j&Dv&570=>FOw_}HL-{h7=RmHH)wTl0~p0);gxg724$s4S2@Hjbg{|K}_99^x)F!&ie&Pbbm&^Z)zq{)aY(|NZg5-&5(_w;#FG zkjX6denn2QGRde%#KCHU;$8YP*pL@jDzJ>6vz;r=rtgAd3u238Cbqi9)rf{jd}83} zy%ySIT@pO+UFvw^X}5p$SetrWJYt;v#x)l&#pDFnOuVu_#{6?AFmhRP|EIwHw)lv? zW2&}_hnLpgUHqd_kl68U^&9oU0QVO)N69NnIW3NB6om8$&^-KqFWRDBEIPdM)VRAZ z<lL-H?053#`}fxw z{|zsWSO45yV}3yH{TnTNuJ+kaYYmN5a>-?;Yu0J7| zXvJmp?)^+2AV)s~DSj#^l!DbcbbPNuV-w;qS4-^==={KDuF`M=v@Tb zGGPg4WMs_Nzxo&1W?6Ck=3CG(%WIyq5_>lce#K*AyOp6lA&-?f9n^;URb*sVgBZ%2 zs1|RrZ@g+}Z+|s&;aIc^?6tE$vM6PPX$9^LsfY0i$6`-hxUhq?E5eJeKh{-78Wr;v zhI3n7M)D2n%5a|(6FDg>OCu9O9*f;#66yC!%hEM@9zA;WR=;dB1S-3#;qG@wC=<~m zqge$@Lqe>#GDi-x3+Mm~8lbDD#kzd2yTOAlx_<5LgFjNb%RCuwcu@9oo~#L#%=_4V ziKpQ0=w!XBZc4K&C#==8&F#x_=u2#0XqyQaFjz0xG<)!ZL8jKLl$72k&yl?`h5t)#4WadAOQXeDyMoGPt*rQkjK1m>d9FKk`t;bB!)dgPjGtR_Y%4y09u+Tm^~%@N zbIs<>n`fJ9+~v-k**G^h2i4o&BFPmyy1n-fg_f@_1OmGxg-)3PZ*KIYA-7r|D+=WwDpf<9S{lv)9Qx zU?&e9dT=ROUBGSL?z<@(+KxhA7t-W;Lj7r6d?YW_i<$u^-Q{lExh}2I8_=)>|=Fxb^MH541ScM%6j{jpRzP$xr2_*v%xeWG4XzXjEhP}W9kl6R$X2C z4nx0ApFX{AvzO=PcSh-k6?BOz(PDp&|3jNsOb<05NBdM&Rn_k)XL=sZLip`{0s|@4 zme%&8juJo3J`B>=*45VwCHg!`XC^yqEY`cSHxB-PG}^+8e!qFhsP4sC9!|5n zU*FWZ$y!*pPb>AoKcO&BYwl3l#Di;1IjlF?o|>qssil58A|-^gj@fDGj|^%uGg@Ap zLv*0szTG;Wb?fLMW$zLh(E4aJ>l@A;q5QMa4o0|0u;3taW6DW_8WJ?lJ9;ehU1s#( zHCg3oM@cxr(5^s6Ypm6Yz&^2FoVEVzAU@N2ixoU+ko0o+ojZ4^nUA0`q^G|h{hmHm zM)A41k@50Dq$L~G&)C?QNv2lq_Q_g#*6q7?9oTcnA}g|}NM?LuVy@%vw$IhoM!jDi zqm|yLI##iB%#k#lVj>+{74daS{V((|H?LW>tg5! zy29_t#dqQZ8GZ8KO|^c#yHMAoI zMvka+DnISHwFIA@@Sduz&f>l|%X&Wz*S^(Cd7qhCi*8pP9hO(GUUlVITU#4^ywA1~ zC8SZ+({+U%9nTEYt{TJji?J4buq?kwNC3P(?!I^oVhkhsFW114AdSJc+w7+=1;o0^_yR7e}} zn=Az)SiZ`Wv^DA-Y+nzBml;g3bSLXB{W-jR*gV{^Qys7NVVY*)SxpR!Ks!}QOK z3ba&r-oFX$i!$n_ePr{WubK>Bsy9dAxTzN7Td~de)2{^QR#r48fH?sxQOowST93MS|D*N z!!8}eu}*IIQXMT`YnmxB|2sae=x1FW^d&ZMCtz(c`GPdOBH)0N^-t-bSy9QR%b2nfJv7JZS(vccJynqHgQ_I5t8_gFu4a^tw5nGHD?1924m z^`A<)iDBs&0@!SFTQyVEG1}<&@G$h4=P&?XU9H3MCNuLfy1x2qVuR%3b9xqVXTc$2 zxQXoZb7VUrcY+A|_U&93MnO?iGfg=m$>Tl=A3Z9-&wpEqlY^Vv2k9fOad2Q{Bq=_A zZDiG_M+YyIT7EVYxuB~X1e&_M9A{Q8pHe@-oVf&{D;yVvjqOFO*Zc*hDz);vsqS`oh8!_gOlva5ava?!1Vg{yIKamQ?WHex!3A#(NC z7)d4>TFq=LcIoA@AZR*<-Jb%?nlik8{?trVjiqtq*h(3HoMu(N_f|`XXmIv+|L?N# z19X{MRoGduk#Hl-hJ=97+JjII5x^8fB{rO}w?8T=DG4PLUFqKC-Rzv4_h)Av?Zl#A z`eBD*+hEw=;u;t8FOLr5I6RGvL&~!BJ*+2a03J!6tKabBU$N`klLWZQs3nE`s?8Zy2>-*-00d-0V$f zu!0p86}KCCf8%r_{em||tpP+-T2|&ev-kL?1qvYuZEOTVJYn>PmX;PG9OAFGUWtRf z{dqODlfVrKN-QkAff^LcA)D!kY#a3_YcQ?k#?6~0rkS`S=MOzbtQvDSkQ_E`Z#2!! zxY@|-FUN8I{CRM22I%xzo@D)8&g!8Ar~q{Cy3pJ%m!kd-jf6BT5@+1qrP9;WHF`{P zzPo5HQp35sMIqg?s+| zIf*Qodl~xh;ccYH^z>lSHpjaF0BE1*-xKil=}|wf7)Q_Wa7K(6A=!&;Dl$C@^J+es zi9lI8?lR2-fUKe8UE7g>DUN1I^I;k^XALWLxg?JoUG$b<8PbFz>p^0!v zXsE1;N?`la8}-AOk0q1V7>a+>N#jC09T3otd>xM_-yVc#1P%S4?<;#DtI#qqaK%7z z`dm@L&d>i4xEOCzQC+=0@A)z!8ssw@TU*qjWS9odXBlxf6956F~rRK{!p|1YpG*>f@CuV*uk9td=1CXj8*njY#ufP8mW*YCG_kou? z8Vi<>0=faOULAJ}LnkIi9jHn#2}3b>bs=fIXalQWR`Xd^)&9e}bDJx}_*m_G+mMk) zJ7h#G+mJ$xk%JJpNbw*9pozt5`e4okbhJ2ptDwYCaCO_`d(uk-s#F^`Onj5wc9r%Z z|G1m{%>u+KpwSvq%V2B_HxEy#X(rw7-4zBwl5zkJ&iOhLGm5(Nbhv(l^1$tgT|nJu zEi8CBL(gh^k$Eb|th=aCx!}zKj!1P-+P<=U%c5Xu+V4**4(P;ecMyiu*j6-Hw$F?& zw6DYf#Im^0UIX2I(#=h>Mrwfv`AlN^rvZaGwQo%z+s0G!@;ew9$^-X`FP^k4V%qv7 zCZ_uSR;Cx{Y&Hc4JDUh!4SDnk2GnN9g`q4mwq+nGR_^(^4x1cCC|L2eROET}*sN)yxy3(|BLbgfG z-bNCutrbys4RnjFlEZu$+y!O{$A@<}Yy-tnf>|Y}`O)zYQ7%HlN$^&y zJp*qV_nvzqf3_4w#{p^#`-2K5kmzLs6Q`B zXUC>yUgaNaDcVppDZ4|w?PpPsZ)kbTs>Zumcnn;+jHd8`fdRt0!~uC|SFkcO_vo(7 zGswuuEFw(p!_foSM}x4hj}KJFI<$%V@ligz&9s)+cr4rEQ}C@5d{EhpOE0-qNwAxCKeV({ngQkXS6$a+Ew_zVB3gIN{a)FMt7&NGKR~@#Yoef z`}d!c(4eKdcxYEsQxlM^6$+EuS~V>#1x%6J!KuV{@E|6+6{Q=LV?VvoOkacgykKR? z5&+`!*RRZ(0S%@{QJm+^cKHG8j{*dQ#KzX#Ow0dN<326)NZ|k(bod5#%UHK3XG1w* zNCD}23Z%@yg|I)#9a{(8dmn4OOik_k&}_khle4_+?v9L$anvFL_M7t*mJn@Shi>Y2 zb4kx_@?`r4;Cew-vJBsK8n56go8KNb{!9bD$8zcA?eChtt}J-01R*(LUUTrI-9tk|s8c5VbQkrN4!iYd#e%ft=ckRY{oF{I zK1!L%A3=pQSHiknNMQGX9na;AQxaK8N#0;^!n|3WeUu|b#xQ7XI|GAvg(0@z1Cn_W zdfb;MVnd^%lu*%m;EzkcCiR_f4gJcDYn$3liHGcuBBqO!jF_}VC=S-ejtz^`Sp{V# z!kAYUrx?RG)&$)MR#4l%ue-atyO;Kr%c+FlPS$BZ)796n|FH)jQoriyQPi8`b&7oH z7)!Bl-#)^4A&M-{)p*dSbt)=biA9rFmvou7n*4pf&1FOqv2bZP47*FHMxq2!zt1>r zlV@S<%3{A_pd@6%{I)9F3*DjSmX>@do89d%>Feu1tT03kbJZ#a*aL+%2GyYuEGwge z)d?8R=v|ZLK52T_4=!*lf?L^1Pfw26o6GOA!Lmdgw@qx)A3b= z6ho|*e|7Oeup@#BwhQI=^v*DH;1BwocFEV06K9KqOvuC&47yCrP3!#+y3bq8*!bffc-^ef^4rga) zS}K{So2%~KzppR6=T&lY$$*_s?a^{U66sKJJ$&-y6Ru+x3Fq|r^TCX}-Hu1jFFIQR z{UIBS)&)M%UF>4W>vi{w;d0U2_g=caTD5)dAc#NK8$p&yOY1BB69LMx)&wMw{L}m%O zQJ)A~5vE38m=$jcs1{}u0Xl$pYC1x=F^k=ZNL@32E zxZ_TnwdGyj66Ok^ipm2(^@5I$3Z`d~#E7kk`C&U#)D=;#qK*vX`NO$!4VbQ@H-A_G z27%9XMEpbC+|R{jJD8G{f&4D81*atfkkxm}7%>ZoqGZUy@1Q&exuLu!cc|EldNtmj z)cV1}0;bktIO+(zn>KEwrEbc6|NdcYEMFUH|7#+V&>bNGqj+lnGu=D?n$Oza?ID%bVndC(B3qjm4Ar5>o9`C!C%XT3$h~pnM(1c} z$>MCeG$Dzwht|Bc8&E^Z#p^uW+tw%#h7~A}OF&>dDg$gi5HLyE*^U?G{S*@R2?;?~ z#~)56H)Me1)A3lchZFORswzXk55N;tm_A?;KYXB1QLpT&2rZ8~B$Tm4C36D!;K>s( zKp?+>S+m;R#aD0v$SKp}x2FF#;n+|kCm_wtj+Sf_h^*>5BntvTdagnhFnoJ`AQ%fw zW?e-)HSEpm{&{7wW@UDu74X5wdB!G?4k`@>+1)TRGX&d1ia{Woi7HF?P;ik~&xgM* z{RxLwAIPl}N%e@Lo3?H1$B{-g;5R>qtHcI{FB-|)tNm2NkOKw>)sRR!cl8#c8g7Za z!puCgz*An7L%0pVWH{)k>~`$h<)!v2kFBhsdyM2k2FmC>zC22>d)(}JD$h%w~`pR|vb9K&0C}Pnn+TWl)B9y|k z`nJi;z&#Li-!hygj6jy*Z6VH#Ch)oWmX@B{$H4&{zyH`V&8QXJWl&|`Ofy}_{B-x@ z){Xw6BG?`UnESyXiheA1LQh{G5~=E`Q&brA$cY2o(xQ!|#%p!xi00eda})icj~?Aa z9R>aoaT7DoD)7f=YT^Yo*|{WX9s#!cqrab7|-= z@ph#<1qCGAv9n^jD#QIOXea@j&3S8!!BQX(F;D$M%?Fkh2jYgg`L3IZvwyl#RD;waN|N_BR!&LD zXz)B3&4yxRpRll<()}-UL;dktSz$Z4RL)(w0um+h-Mdhf4+S#Hv{X2=fa7`~mOg(z z^VLYmA@`~o1VSBLjX;DFNLj#gl7D}Ss3%XwIcGh8UZgsr6Np+8MTs0nH$g-&>MZs$ zG&Iz1(D$WV#*aY#fWeg(bm;yA2ZF(@dUzDPjCDKe5y*V}q>0Jzb=!}C@MU;mKNn?A z7rTu&K{2&w4`@F?{Y{S*PQ$jXkPuQ`T`dqjVkeen@;8`KTxtO%li$%A*A?mU5stCr zOP!%7hd63>P<1}|<6`IFkbz;MWR$d#5$EO0m%qkJ#~!?ps7-4>>_;&Nc83+}R=Zmm z#Lu;D&f9T9+>c&&oz^{h(lcD{mD;O~Sa-jEFw)(?(=060xs5N*hGJSda1k9CP%sbh z8qwYS8PFSPcZ?acc|g}5`emn(KzFz3gppCA=EAUk`8q19*}XHDM_iVtTyaZ)0)4Qs zU8TOLWC$rnF7MK%U64nxR{YnBsSpf9y9UEpg38m<7UoAE8#!uMxLO&q`uqA84cI+c z8nQukhUK(8drwiaMWbN;lIDgdYpBZ-^)+v2b);-#veH}JMcM1yJk*C!2QC#cpd8xi zYRlhOKx05T(VzboMwvW-WiLj>0ZO;K)OQCUWIC#Ad~MvcsS~N7v!m!PQFpK<$Tb=p zbK$%c8ww&>KwKw>^v93Bq($Se;WB5=_{^?=Hp|x;G2WtZI$BEHAULPVj6RwP@U0O$N_KTAmyb{{auVrsii1_QfzIYE+)55P^2?Jf*L{50M$+7ANG? z&6~-Z`!Bw_2<~>{mMx{-W9M{rYjCw?{9nM=AXNVZuVRsPMf<=4k|Y=?oKHNY2}u+b z#5rwkC9vlxz$j*$(Y(rmLohjMC!k~j0^;wlOh14x-@qr>v?x4a&D{cc3@Wg`zFrn6 z3H25#1=P)$1w#U{5t|2Irs9V)A2^*(wR)WN={HMV~u#uL&JnUV< zzNem}`Fj#mjpYRdGF-GpR?yiIoy}pDUP_#@DeCc%ZQfU@cDsf$GT}(N_KUZV+3pmN zR{3i5F4lc`V4(A{hR*ir5hCe+Rkx#$gYcKOQWM!;Iq1B0&y161XDk73Ii3J ze;t0TFqReE-Sv59vb8?TXOKy@d|r8ebDgsUOTpl3_e@n@ho}(>$6o!|UP`~N<$h4I z;J?Awn3$ViN3eMDVm~g4WHf&Mb6VLHU(}rXLounTyZ|0Z;>1Omt_jG`^3FBQPRh}p zl{tq|iav7Q6)BrnHy#$Tr9+_V-jsJe{XL$z`Lc}8aVhHdd8^!3+)`8yP_{rHpN~4W zFm1fSa&zhTR~O2P6H%w}5l;iuY&?c*6M~C_!v{v1kqd!HNaVYV(583Q%v>s2hK9~L zOyA=|-#*MuS%{H76EsO#*!kiGPpbdn;5p;12WPx6RiYgDiKQT_2!$K;otn-P@3N~- z2;7`|)`HbmDQ z`?Ek&fb)WWgq5ILw@$!i+DvMBwwv1KF(j*F7}yN-^(-|t5SkH0bQs_fn~3t3{%aZR zVd;@CZVmZNd-g1kFo^8?3*3r<}#bA2L5^UmmdouAw(#H#iITCt}Je?LC1RZ0N&3 zD#*a%efzNC*oHf71!R|yS?h@6E{iA$PNuMX3_OTu{lLx(kL0?9_UpO~9i>dASXz(d z&mjDRYuqr~HbdbOgBR#-#n-Pd($a!32p%FrS;|OiX{{h#JB`$p4`%EV5>c_slS$BX z$3RDo6|5{27<}Y(txH5Y12ROhF2J}2R_nTN-`GC9QcniS0A0uJY`!=>>IjhN7%LHV z9gjk?Y?mUm9|X5j-~7@k41k66pctfjjjH?j4(MU1V?^iE_e+)g8>P+F`SOZRpV8h; z*>eM-0k3ZZi31WD;zyLE3w>6z+qMlwyc)?j+roky^jPEWRJkCr57*_V3CqeBjOhD_(@rW()VTEDwCxb;? zW%h>;9XbXX4bTo{i_nd_{U~-c-x+NMSb4PXvV{%7)ghZvQqT{c`2bw_r9^-%P<(4J?uJIVv&Wi>KbT+*)JND zVo}Q2*7UUq1B8PC6H+!*Os?|#mIO6lh_4!ILk{AYk&L7V(OkjNF*`iIH}X-1p&;m& za8XMZQn)#G+}QxK4&7hy<(Qb509pEFJyv^FY9ib<<_LBd5#-DlzJimV4ox5wlN!J+ z(dBrw3QBlcC#M|IZI-9XgMbYHo5+Fg#o;G2t^rHuqor;8lmOlwL5~YSQ38;`*+t}h z_2$jaXd-bSQ`3PoBuB>3@P)~nsp;u)L>q-SFWm&>{hEgof%NdM52`o9P*bLVZDn~0 zaWyz3r0bT7sp%mkJAHw7gf=6*X+Xt*-8f+-PyzWR(?aJB({dMhf6x=|e%f_fnffE-?m!2!C}^4d?)XVyQUry5R2hcZ-s{Zw;5~r}GwLNMbY&AMeCZ{)h@}Nthg`3wXE#Ug!v4%en zvjvT+qs~I!94T0lg2;vBkPf^@C6oQzA_NV*35sFJ3MZk)LP10*OutdjiK^ySnRvyV zgJ~Yfdd*DJT{ucmg|%A($nxN0$HJiWAkz~)?Nzu^C+s<#SwK%egU*CLDue4FK_D={ z1w9YidJb&f*^3u<;_e!J@CK#_k)j9F4&b{wP<56COCQ4H{`!?luVf<(6m+Nd zPz-Wd92p{sE`-gD%7hT;ghV(cC->l};|sgml`V+j1UgoUwgb+ugQSj+3Ut&N<1)Pa z!rq_B$61+^mEEK^d+D16(nHXLEZ{UTCptGEE}bwNRQB~jY#E;}qlcHJyndz@@w|Ye zg6nVz1mYic?RsSg^AUOUB&Z1CLHKr{h%kMVmfyFz0;?ELA2SraBidWW|I zu9MqyRzYEnUh%z6M3c!|SzaKLLEI|P6A&vo+1ZOMMT3KZ!m$~MR89y=BFv#kBkUN% zcjP@YG}TJKL(`RRl71J z-Z0|lAUyQSLi^Imqwg{@7UQ@IuVjk|2n3`2Mi`0^zCnj~L&0hN`x`siR1OXzus)36 z>_%1?L&zfE&&bFi`k&+CSa}3&6xeX^CyjOa@zDWOYifq;(xf6`b_Yot;UNn8heF^# zfbs&e%bwhPzahp63cUjFujdT#WF^I))-n^lHa3pBTO^7)lKr~Mq2!QdxseB%<54RfOssu6~TY)A33Wz%W|eZ7ebTa_3Ob8 zA6lK2_iMH22fKw4#j#*M@MsBT9FJ|%i~M+Y1|71EQaFF7XSDqOR6fd8VX_LiqPZ@H z0w1hhgmFw~fN0yOxC0IY7B?6l`fiMRmvn?*(@n@2jZWBr*vKQN%UOI?%klUYYq4nN zV^+68&&{qFk9_Tpe^Xty5`9D{BEm3ZWPxTA?MXViGidQ=_?U zdisaOhox7S@VA1GErV)T9$mW5u<_JJ#WfjTtInP|sd;kxq4{(~JL_Uw*4d4Q`_6mD zjP#eVDpfDUOvFqbuW_oL_$*~_L76kcrZ8mPY?(K9pZVnFv_{*Nr5R#oy$f}wveuCh zb8wVi-=Gx3c6AUSWOjBILRKlNTrx27&|?M4kAIA1N}M~0{R<#WN+fbCn*UFpT(fT7 zy2dvquz7$zA#n2eLrysl;8g4Z_#dSg=S1nx6!wq3$YkpJKEHa7yoDL@4Lt>+#u5iAojhLbi0*u07ZIN{GJh(;PeA@5pu=A8K*xk2a8VG075# z_{EN)$DsptQ(G$TUA58LMMt+!^`U9zo_rnE6DL+-lYlo`2a7125ZJW{kAQ-Bw%fkr z$1l?~_gftsotWSNB?fd1i{E}10d%^6xkWtyi$})VR+J&=L;&l{g|~@T@kWmqU=@rz zIVERi?jA7q0Pg{V4K~do7j=fk9o{7}mm2zSPT&NgRPl_BWsQi4kd5KT28TXSgyauH z5Z-_g2LAq!^3{_P5`x3RK#R5A770o1KNE={R$RFgV>fihA8)XdQAc;TEIvD|KhXF?BO(xPmsiOr zTiv+O-P?QG#N_20AMnEE19ow0uM9l|IYV!p*t;-0+tJ_8B_8wV_ir{1j=O*Xs1~kW zyN0}tYlYWi4L%6KZpGAJt7APQKvM>IwuDloi1PGw$~kUWur!#H!F<8d79<;A3qEBV zsz$OjF)^^XL@|36*VF_-@~B|4#_a$|9t6;;xc-=8&yBc?i-lo!ad8oQ=KA977-%|L zCZ@n^|lXY0n;p>olGZIu$Cr~I9 zFsctjLW;56ur@!etSd+nwQN(uG)9D`@t;xirc?ccgNj%rcXxNPyym}zahsusJULRR z#94paIANx@zrV7tQ7u|wCN1IcQ-~QY?h8Zk)nd-XCK?)wSzAC*5G((P&ZZk}V54EI z#!6!P;esU zGzZdPVh)4{sp(^{!cA2Vi^=KPjM1s7vc^URKtkLF*om;14ITQoP8E#Ke%OC?5Gb zCW*$W6=j>J;ut z?Cg4`cmq2W3(Z8{Swx%I7HKfxaKZ}{Bst9p=XwW2!ED*f{%e_9$E`Y6kwk%9-VNm> zo3p$y-nVD0b;zNzx)y2?0RhD00@yWon_JE^z2dp7JX#oxr~k$cUfsDmPV&TXeCkozPDg4r6j zcKekIRrliI)?9B__h0XCNUSVQH1-_3bqpl}Gy~#ez+;+B-gnZ9C4z#SqZz*X*veKYAjW%=mD1ZJ9b$ zdGy-5%LnF;BkLwSBl{{L_%#i30(yU+s~&jkt~<|LciU-ZUdGp6>1v31CUyc5Kogc(S+WFSc$=M%QgDd&(Y8JG})f|ZG z-2C4T{%0fm(~&*$@3#5(H-3eUr~UqaOIgG%@aF+}FdU2Ps0^4kxrK)R9fJS;X7KcU zK(F?tOJ#$VI>z>kre;j$5_K~h;R1}0@WM;A@Pn(w14Ig6UrG*#mK+=$OxSV2Q}T*1 ztG(=j+z(5wAzTq8Q$XuJ@05n0blrskg?KbM2aE=^C|-d-kr$w=NfOs9ivzDijy4AW z1tUHKBFW0g6op;1xzk|-HW_Dy=>Om`kfg@FdN?ZRi86;B55Iu+g^#t>8f=V*C#R<1 zWvze*W)Aj3KZJ7r2M?aWe%01I&cfG_Po;RRDY^0))ugjzPEJnzmWMp7{h0%ui zs2)C~-MP7JiuZ|sN$0cVWIrOFS$CZRSCn=u*upXOdmqYu*h+{_10LtP-=p_F4d1`> z!`ZmX)RfE{3p03sc;{xsc6=~S$Yp?t&@^C21v=QrvB8=00sRl}-{YYn%BUoe?y;7H zRy8rf`%!~r4hv(Dl9Gbo5}rn%u&~D4Gvw)hFtfV4xe+s-LPG!j8!)7zTft^|(aG7x zWi@gsgnBxVOgd>vadB%96aa{LsY8F%*O!2}Akz1r@2}vbCIkz73!uRB@+9oUTEq8f za9q!(2+(1L!OIiJ1sHhzFlgRhXeK|0rq3UKhhCff{Ai*NVXd*9^uBUbr4wHt)QEH3 zcz2jL;furokq(d(c$65(G{9lNAozi>4sx$9+KhFbh8B3cq%+&B8u)@uP|)W4qX^IR zqW*~3tGo>0Z3wAB{IKfDAmU`eU|haDP^HraUq)1vol}jv#$Y5GbI*thYuvQOJTWLXH4+nB{!6^KjdTB1 zj%p-lm{DAP0yXvPX4*ftE*2IVhy|p`#EpHzbGaCgdn7p+UO_bEM5FFTbF71mzb$jND*0#7#$bZnQW> zG&5Yfs?^+mkRv9-dr*kMKqxUaS&bC5j(bk^x6lhl{ zXJVZCc6Zc0zx%L}qWv*9mk=eauA{5DRAVq)Ei^2wTymy`*tGe?A9*U;=%H~39+`d^ zk~`7dhhu<8Xp&w8PzA84`fKgRETh7$Za;;eK+XpTbG|^=8gT9y+_HrBBV7{^v8}s5 zp>6V(6-f5D22=U(8&Z>K^e#Hc68Cj-w?;|bvUD-WSkUOZ1Zk!Kp412^RqOX4YR*2=T9h^ddAfXc{6V=b(<}5Tt+Lgo%=d@Y~(4?(~U{~22l0u>K zOvXSWsJGW((Lr59T;yD_uEmGb@`#%gzMLLhe;@4GiLe$BK>@Zu#O%v&^;WM!nbjZb zv7E8>9hAQ2<^^ZhCwl&vno6FY2-6Bcb}gU9Ir5-c*a#7-2%>}ZINrXp)Nc0k?P_Uh zX)vU`E{Oo2x7wV%kwdKD2e+N55=GD7XG`}9CZH-rO;m;f3Um1UM(=hf)gO#CqL$ls z_C{ZKx6lhU<nmT%W%aCw2vn)u73FgP?{YY?jjM%E6okyV8=gt2~ zUK|VZ*bilJIUgczQjGHh<>QgRW16?FJ|R9TED<`fWu_+I*42F(aa&i5OL9_|cp62u*Cl!aFg0 z5{rX;+>g*4pOUf_YXJ0Hj4*O1Esd|WwUtcyM`v(=%Ww`^5IAVoX=fY*M(BYTyBmbp zHB`n#O9eHdV5TGI!(}d|21}b+ZI?|Bv;>&Oo&-tFZ_I72hUQJ4LW<4?NN#wliH}yw zCv+U(aiqkCt?Ds<0n0m!c4Fc_Ctfo2S#bQzM6_BED-UGT)r~;_^0Dso2XVLGyx~D9 zNe(qIL5a$-I=4nVXA>wC0Wzd&1i>HXJ%k*T+^|#|gTes;S^MqV3H0k(Rdk`+d4Q+r z!Xqn%@*f9^?0Hlq5U0>E+_>eDfME4hIsR9uK`vPhB0L4fy z8LfC_m6d**c5t49J`JA@|DvXSZY!)EC|jEHU5KX`Jyf7wN=b(|+F;c^q%JX5e#0;}X=rDp<-0m4Zl&E+ZntfyW_*9_R%a;cP(Uk@n3ZJ=AyKVCMzd zh!|LC^p2Q4(0W5GgL6gO+=)^wKQY{(BF#fjT~<~`o~Dc@lWn5~Zy`6pUhd=Pm;1F? z(P{|xc8?){R$hp{qlvWB>BE=dw78 z)=&{aWP_^cB;I-v5&8tRfne~TgBSvUN&o@e87tjcU#>_iqDsG>mr<4Y5dQqas#5 zoM3DUn!^{ik*Wgj*)3@%|7I z%iD)zT~-(zj7KNVLCHsgA(0QP9vZVWr<0yHm;#2nLQ?qN*oXK}Ax-#KaxN$OzERJ6Kp$U;=~W zHGX3h=b!lNpeBQi$18h9wDfnFnoI4`xPl#m>I6)ftE($c4M-7MbZMi568{ddIXu#uey2JlRq$+5z zZEiDHf!E|Qh4vvA4LVa{lKYp??ga`$u2zlt2Av%J8J$2z?1F+J;J2JOFl?#EWn6

N}fhO(mPJQNTm7C5p+_Ju5S`jA3Qj7_G}RbW?a5} zaADIP1t;#~mRmM%EJOq**2TSI_i9ags>Mf6#n*oO@#E7+`$5h3Cfl9!Sz!5uZIU!T zr=5;V8kUB-7k|>x^ ziAWud7Bc9E9Qbi;kesDPh(`G{ZPbn;BQ(>Z-&y}*;*FtIt%6CntGCw+1x3B8bOP`N zu+3p9KE}Q9C88t@n{x{J(Sb|X<_-J#aoC`M;U`a*!cYi8}W0nhw z4C--gD7fT+?y;$H1;je5tu3L?>RmFlAwd91q*p-m{CW1EEELUK5Rislv{I()c9kdZ zf)424sHkHcVY6FeHJ{`Z-TV<}8^Ga||og);+&+GACh}-u=U*vB9U1 zb-b;EZ&Fhe0qjsF2Vr-S>Wj2k-x_8{|4T+DF_fZ27bSij(@aut!waTe1ZBUIw0?o+ zBc56ude|4i_KzR+CryJ0`L-x0AEHeFe{=#)?e$@U>Vl#d!1RC06k4;f!LV1ZH@v6v{y4~s zuwI2jfBA#iZd3>;?+gSZQZ-rOZi}xa6D2S|eK&>)CqP$5;pTLg6|9JQ@@bT5NQ?mN zq_#nNl6`e?9NlmjyIYA$8HUP7y9D5sinRC$Q&}NQN?fRiVP#?-zt^P4kCRh`K`W5A zh*uJg9iv!MYm`tZ%-}nD0UxtGi_^Wof6aDoUI(;mARYbq zr@Y1S%JFw0`zkSuy211y4-a8x@bM8j%VQ*6h)G#`p=q>{^+4yq-EC5A#Or_?4*i>; zTbNpSvikMRM4B%ZWUVY9o&YS6={_i<$;cP0uF_4=Vjeyq<7gq>phU(JUC_`dN4GHS zVN9fL8B+mpM?pFfx@v*+s*_EG|H@L;eRojx`8h()qTvz|8j>71m$ZUqF$4y4Ous~hFuV>E(o11Zi5BP|GO=H^B<`()4BT zhv0+ZGA9s7ZvJ?44dE2+R*om!ktbZcncB0JbZ&z4f`zQ$&o7RhM>u3d3S69>G4ytA z-#EBC+*o9eFiGRAX7q2v;kFG(50u;;MRhxT7Nlp?!4kZrNJRVtIj4pR9st8|!J@+F zRE<#rnny&mAq5|(1`_hVh6~6J%Z}tt(2%o*usZ$`;RsGj7~Dx$BUaa`J3tiEDEd&2 z@8jcB9j*r~3fpxAf+mtZ%JOiWydy`BNX)d@5_|^` zWcRpBrlF#`K)Hk)Fn7Biom|3uP~h*W-L(?@cLNX#AtwU7!5o!` zmI?}+QRrxByeHF#u3cReb7UUf(01RL)s^0T+t+n%b1R#g`7UR)sNJH50cUzw`>lLD zg7>vhc2od~dWy^AC9UTf&39Q)iy zA??T+R!s!8xV${q!U<$5T*B&;AK@K~i}UnYneo`bYfcMXhRUlqP<;5|h#S{|1DKst zgKbI}`|@&cc*V()1|0_R8uPy}k^(+YWQ(~;2Q-wC#>Yem1n5*id;6y&SuG?kqdg%J z#Upw^uwg(!qqcqoy5~`Lb~f0_RZZO4=g^w*sk}Cw8{*z`7dbFcdz7 zMMea}N&zcEVKvBVKJGe8+Ee~jH2uo-QB~Xl6?Ngl1)&#luvmf%yZ3L;!@m{;l&WPG zS>2#xiu5p{pA=-sE$}+SxiI(0B|H7l_uZ)D!bLKXgBSWfaA0Yi`>+i0{ zl>;kcTXmrcO_p*6VLK#*be3S>lDbzl<|~<$fsKgN1n?_h(Q*u}N3yVv0sr6`=XCV+ z7^v=9o+P_8sER&BtqsZo1UpnhYoJ*u+GrY9%n?DXay8Aw2F7-bx!D@vTA*#JwY4;9ehD z6mx`>%sAbMB9}bY_aB=`IcSOc)il#!x=9l!AR*J}Fy;kmpaxdu)}qL1-{2kF2@w#Z zegKck(bN zja)|Tg=^blVL-si1FY-m=^34Fw!}b>6DTWD+M|UCmSY)t`SRQS;Aa(KOUA5Av}?f{ zRt4{m1`?;_a43jA5R#CWW?_yhfvKQ(<_JtKm{9!L{?Cn%wf`Sm?*Y|w-^c&kn@F-E zWRECh6cH+W@3P9cNRx0cOoJrl=`?73UYpz5Z^@seYvKtUkzp;IMzy}caN@U z?}k7S1zzQ6ub^^q0TzXY6C{ zD;akiQh3!{lqmmSI?70C`NTFx}#4me6cO-pQt63k#*mOUNr zizjvbeNJZPa}K&{aw5cD!lr{mxsX=0dSfNEAYiC{b)Ji$(6qlI#D~Axk5{IRhR9b#}$i;5G z1XWE$M8r?WIG+Rn3>W)g++-9H?FKBcL~l=5%jp0nr!d4uol=Z_$(_85ky4hJ*%1%r zSNuvFkeVX`cgh?RaNMhkKYr}+VlYdE2$BVs{|xIyo2Pz!s_)#mmFsIqw`|(fy@M&|fhA(TCGL^p#84#veYO(h< zHF15;Ng5Fz@$t51{lARhsxLzn8Q;UM=KFWIkFA1pV++>X?DwItc<|r>RVg4M!MkRY z3Y*g6PTX+#(a@eT`>-mS2g5I!7R`5!sW;@^qOyqDp1z-^G$y5z;R&DGOj7w&Qo{7V z6#gC>b%2*+YFAs1Jq;;=wgF@D;&A&>OM}h51`WJy0or@)^s+zpBWfZF)|&N4xfoaL z_q$cS;{6dGvVA)P0^~Y%IzaY+aBy1w*!1OEtSHY93kw}ye%JQcbm?T-EKVnAG)$I6 z_P7H=BB`gGQb`VJe{fLG_-%!cmX1Cclx|kJv)HiJ1cSS(DmsmR93C;#^i!myE*U2) zVmAQ5Y4A&VOp?0Y*K=vRdyaPUi9MUYbpP*8@97a{oYk2;VM6^nG#(7yJOz^a0;HDv z0Vx)Zw}wTwPWtmrz)^KF$<+X`8EO*Sg4S`}KmUNl?2L9%5TvsbwcQc^?1~{B5H$S! z?4wKfx5{Z$fZl};xgm2#pDD{(xqI-j4JJJ|s-HaD@sK`yve5$r-r$<6zuZ-NMPAth zW_lcNxW9SU==2_wc{0E~oOXf_U%9e}vyqk*kj1NE6z~i!q%=eUaUewL}_H|*MQweL})ZW_#g@rMVWXxsfrw~ZQZa~)P zfric;^F;|f1-nCw5L-bYd5Cg{a=QsL#JPe9sJP;v7rEa(wR#IJhoj5>TUd^`g!y9S ze34co_aBKGHYI6_!?MvkRg8StY~Bf4t}BU#t)dp*DSB^@MFe&H#_v zL$873PQygYA4!qF_9)Y0vXUq^bvVdwEe&qv8Q0UGi-&!umS>|IE+6cxb^PGxF)#OS z4cWY+JSTPimwm6g?p({}tl72+I0f+a$Zdy4Tjxh3%uru8{OkRhe>%-d^SM+z= z->t2xDsJpIEL+FxdgHdLs=5z`W2$oK-LT)u8Xu|` zV5A0V?WO&~Lq(+?H;YKOIZFYZu3Wp;Bq04@M1(GP4);WIcJ>U6gVeCpleAfgNZ|DM zB4euAkp@2V@zW<#g<9hP0`JE`27*1q?R7H#CDt2oT|jz^77q=l_W8lV$4zO~V}zd0 z#wsk209IDh?IyQ+gNkBsz#<643&`;#dyL8+%xm0^9pOf_(h1cS`M^|bVh*&Uou(vp z=8`khvdN2TXqP(zj+7FaniP^FHYrH~n;n6D6xl61Yw-xQrwd$gMe=h!sY=3%47y~n>Kl&$j z2KYzK;o52iXhu_?NJZc%elprCn)~q(5|$$gLtvE4g07uG*O8c#??83ad&cQ~45eZ9 zAP(oc_-R&a(M6|Kuc~=idtz3(4>I?aaSZ4V>68I!)D5P%@Ae;XW?!ps4}S?ZNF`uG zu?=!cSvSNxVM5|Vk5Q$-Y|{R7%kwWdeMfaWv&o?^0Rn6wz)dIQyc7#vwGVbRa`d=( z<^KIsFsLL4&cG6mC$sXSgW{YsoF@T9Z-&lDIz4LWqsTkM%GRLO+?@TTL1F(4OnGt> z!F0WT{km>q%ZAIxdZc_n=YaS?#5F+Q_p@UZ?GJUFvMgnNpxTn{4bDRU3d4H!s%T`S z5TO~=@}3?P>(!(Bvq9zb5q6cP5O+MNM>K2fKfdq`L&K*|8|p`s0P^Ty$Dxu5c=f7& zcF1Pj(+CH@mgUUkJYr?^LRpQ0g9?*6Nu(|2p_*7UROs{14VwY7bQYQMLl+d&(=I?kh4{YJT*?u#OJ zjN#tz1qjOD4D?6)4j?GtKDh#*9o8q3QH{vSL+=eIBmSwgXWimV3CMdo<6l9}GfIw4 z7`yoP0!kY|frZ27&%2pw{qHHV+6Y}hQfP``nSOF*$=kYG-U*kq22&SlXjnb=F{WQM z%M57~Vme&VEQ-Gquu*k3KIAx{Ga!6Lj>2u*zOVNA1lD;TXpm~|4ptN1CzrMLu-%}< zkhU-t?}37OfR&Q;hRFR}!?;bik>H>QV>662)pb`I#G)ou++e{yj+U>bS+2psBS6CH zpWW}~cC1VTL!A{+7c8^kU&Aa)t&K#t8OICwHN}CJ_r@Z*5Zo&E8w!? z<8z>i69~MzGJw;EMwrCQK0n6ZxPCp-R1?VHFd`;!05lTItZwyJYDO}oq{;|!07@_R zM6}D^9S^JO?syb<`nO@9+0?bv76H^1AdBLNVTn!X-;D>&zd>d>NLZ7^6-l5El#_lR zLpFraW82sarE8^61h(dXRDSV~H0+I(v_k$avH|$V;HmX*HKcI>2!)-jL?ZDlEuZhW zcc5P%!#+{Gc>esTcdI8&iaGLak>BpW5z^ik;V19j)lA=+7i#kX9ovyE4YrRi+jck7 z{q*9pjNrq-r9;?l^qG62wzueZX8mC{2ygP)g?GKyhV0r?M9EM2slRE}pw?TTdA$o{ zX$z`hFs#%1l8!eus}}iiD5Lz@EpYw&_q_}Prcys@YcD-!1Ak49n`DOJV-wL5I}UCa z0!%DZNLkRXcdF>_uVk!AImWGt6j%UT0C+l4k%t{VELk>G*!bzBUFT1O*2KR@iHOkL zC_r&J?s@nJdL9CFJk>4;jB@N)fxnItK|vsA;6LX}+aFvRTXY;3jo$9}Jzuft@ zechTBnT4A?us&&jY42tcu}$(dx!?t}07symhUgRb^yB?f# z{qwZsddIc+L%*~L@9Va7N5mc;aOGERlcb7`j>Pt`M#RToTwEM~aRykq{CtW%NtNaU z5oPxxGgF2epphgC=joR9y-I3GV}px~1@s|fh*YiK-n*tGNys=`&K=bQAcL6C&gnv9 zboYL&@HI>Hc+Hx&G_Tl4;dg3PI}G5F_92f!KVHFqsq>Rpc2N z84~2l;}LgRK|X5aBk0#Cz(^Vo3e)JuVZ^TT&o^Ahp;_Vccuq_2OM#A#)9qrQ&uxI$l} zlWF(l#xlPTzkigiMSp}QXs4tvA{)gXeUhwCPIBurpa-o^-0Sr|8e&1*K@toCGxMUXNCQ`lHqeq7k!>)E9;ukR+{w5%o2 zmozS6$^vQoO((g+nEeP~1yBh$?z+{9hw9Hc2_GF7AD<6KSU-H>%~a&M(|9#ZL;856 z&#nnpf#0i8n4?4-NQ{r}&pZV=^VnP@p8~ZWez5L~qwTdE0Op#+E#c8ZmHft?N<2Sg z-5M0`SSlxW^(MGNGPJ+tb|X9w;TaST!{H*NTR;c19jrhAD& zO$4oU;}}LQk!7^B3mK`Dc3-tvH(SU3x?j(fzQ=UR2Yq{%s#-}Jrp3U;l^ZpO_E{Fl zw=JpkI_7`btE^}!fy4Thl~)zJI1~<>+pKAylU)?TRXS1aG{V+P`@^If>`c=5GqmD*sPYKIR;I?S~m_sKexW{89wI0e%_dqAct`|VNE zfJ1$@veOmA;U4Ywy?c?Ek+IbFNNP%oO^kp4z+Ic}ul8T`PGi8RFpuoj5JDe6J~Gub zzS{lxvwwm!-WwXsvGbc3;SqC4sc;;DA*t)3t|Dm+1`qbDYvLGE))3Rt?gF+#y@zI^&Z3-u_G|Hai-7cjNxk^z5ZKQ)Hhr3Ri_DnW1P>8>w&SgPYqc!L zhjV^S&gqqUE;u;&fh|Ig__JL;IlRnz4ZaXMBO@b2G-Hxsn50|#pm>&Lh+W!To41sn zV}F)U*n!qG0Oc=r?8MK`8{WORpaya^bi1wlPw#i-Td!?ytzMR-`0}T4Qh$_}E$kGp zq!sbM)vRr6Hm|()HN^d6&Ip~8QyV3P_4oR8V8a6t$kj{#DRtk`dA(bgDa+;p5*lxB z^ewLQ!!h9liOH}(leQ)xZiaQQ#ZA=%7uSY&ACtEHtNXhN50~j)Hv46lF~Os*Q(bFA zN1tMk%qxJY2Eh{&Hb?(@6_Hc4X>@vx_Ro~HMzuS%PNORFdNup{%&8l$rJISPZ*|46 ztaSxN1Z0g=0UY+*(=dM4k2ij8NozXxCGX{q@g5%<4ed1ADe_08`?G&1+#c%mL3xm( z$>dN?6_4u`UPn$3pX@a{^UUBw`uWM<26#QITh^snzllXFE~n&f(8#JCJ@loPs@b9B z+A$vI)mLhs+}rYkPIZ^)FUNl;{^R#J^|yM{PG?UfPriD3;oR63vGMUmnuG43-Jc&Z zwer~Dxbvd^kt@k8frS2(+rB3uGAP%k2eveWtYS$BBX0~fw;tlgrp!}i*@ z#RHX3d3yugajW2i62?d5%oL^d!_z$Nwv1$bfor_u{=(wY}a8%RMG{W2u-B;B)&s}TULeJwOqOOel;O;Qi zqNdS+gT1aiOFjC9cXalrZQz$J*Y4WA?BjROt(C7fz`~mFmyzdli!dgIe%fI^(s#3N zwH-iS(ZP(8uAvvPdL0}?xdhvUK+yha1bG;LvTJwkiqRXcM|}fyE>{q{w|ffbGbW4A zou}Nf9W6126x|%Rwr9+FogNrxCp$i4bm1g#w zauB|R1ve%9kV3ZW!zZ2<{_B!^*p_BarnZBw03VL;{pSBzVfxSzV0-SRG^k$W!n){A zDZUtSkbA^&#K~zCNRE#a9UImT)Aps;4hXP$Dt%F9s$cTN%J#tOBR;uj@yt24#2Ul% zMl>h@Ypr=eI^?DRXA{Pj{R#0~zD}uS;reADY5+vzNEj#Ia$|5-EIotY`i`lpGh?4n zN6|w^nrV4@c}W!Qp0-ARZEN)+_knl7HaElMQ$C+lr~-stQqGr^U9^8+URf!j!YHou zrzS1^gFz-*IR40(?Z{~7-kd%h>+!#@5`EI5#k;@WK5a!h5TZbN;6^Fib-Ji>cBLIX z{GWp;x9AWPM7(o;_FnE_q4$5D)t`U6{Qt*$)FNawB<*v&f4&PB`!;on-kDWO@Xgtv zpr9gSmB`~x>umTU9eyAPNWs{(^1Z9yf40E?Sp%O`h&8aj(jW(iHAqDKiZ~hEH)-tB*q!y`-$gWRIBJxgrZD_i==?%w z(Zuo8l3&b9+*v>Ancbsbdg=d<^J~TPx9%5-F`YGkvon_r^A=BS{r(SCjpOfMk&Vy2 z{}$mA6%{JE(~PHR;x%RXMQ34=qQAYoqPzA(;-Keens0bDZo>fbe*ISYpO7@$kr%&- z-7NQeE_bGP0tOp<-_0xU#joNryxZ67ZNV*B2h;oR>gCh6KY^xdAGnBLKe7q{Hx4v`V>A3v9(zE!L$rsOLZY#P?FXtBG zgyxj^R2Mopj%q^WkMma+28I|N9~9kKTk@tzc@ez3QAg)SW) zEAhqQrK{V>bIz@LnU*FQaG@mAiafjhvBQ*x>?tX%elljMY`9P768nbE{?0wi64~ZX z2S?qS6i?D=-$B1Dmf8F7|92kV8TEeizgJbAn_#Z4)NZj;J`YKO304tbK6Xlm2b>C8 zsi85Q?3xcdrM)YjfU?acZm z1C?Pw>67vp@FNO(p?<%(sq+z6$KL;&JTPmpjq?)QOqX%r$%OOVC6W_Zh%DU65&EyC*C{Q7xm6_od=)0@WxQD z)2`ZYwZE5B;)OE$O!~RO56Ybvb$&8rPS`I9!~($F`U78!7Kjj8T-y8)V1dPeUWmhd znAD}Js*3MA5<~zQRO9l#XCPS-a>!)_bj0sP81_!Lwo140(cAb(_-BGdBK9F537{47 zLUf`K9cUu$PR|&k1CnD>micPQ`g&5PnP+xm_ zXChMi_tqrr>d#KDH7QGozIlW2jii$^XB1SJ&Uk8gLQm0SNP{MxPR$n1 zZr*>=>1z|VXUy^YQW*Ry`}e27B~wf07T&&=R(DXlx+fbnP~7d*bh1-xL%o8gno0R5 zlgbWf1`IZ+H)`j-24T8M`D$y|mOOm5Y=d85_7~r84hu(1Qup<>zb|dBljga_z~}<# z=j?^Y<2`y!h^VSOA3P$s0ex*}hrXCI5)(y)bDcRf}={dN5yI*$~zO2iiO0@ek- zS-P!s(aNFq&7dkUo=Uh)aG2p1oe}G880F{asLNd!-E2CL7rH|RML=$H3yAxVkxvK- z8}5B`>-OzK&?9hxTM+{g4kFSUer-?^dmvgZvX&0xyhOPJC=J-m9oZdM4E)>pHk(-S zkaD{xU4Rxvv5N*S{QIW?$ZcgD09cE2jRm2WKq3w-$6&SU*D)Q zoLk@EdKatpu+KqW9M#?24NKQedbdc!sB`+ALrDT9{LxcA4y|aF`^j#85BEEeczG7I zZO+@H3BZ-4VCZ;AVjL|T`{Kof^Pp>h-L>g^CM--FZV^6%JN zqYL~X@7=qJyiQKLCqxUN%EM9HWlH<5U4PHKou=)DTP`IhXXc6(-OzFFpBP2n$tXq; zHQF`)h&#>~R6zCc`hlv8R$0Hle6%=is@Gb6+gj zYBRJrW5nmO7cZ0wo~J*8UmV!rX;#38I)@HTD7<_3U-6|qj$&dL{KhzI>k(6rJxbLu zx3O_KI&e2r2~YouP$=U%ATC8hR;!24}tab;DjVTx(wcD{ul- zD`UMLY6xrQq0O9m*m7ftM!fsiz(oV8i>zxZS92Q? zJkCWUO6hawa){q&9jP1Nf6U4UH4{rp>U!c}d8**njm9C+=f|?}X8(ygmMIe4SuDJ3 zw{LTNNJbVqe8PoWc`59j7Dm_zA(p%@WE41zSJ6`1zUtgVErnbeR*ak)<9oOdK~}NS z_dzG`J&_a!Qy`56vWC6oQVIZaWB`$n)ZkaGu1rPKpOA0}l7>zLMjEIhOlNxUwwxW3 z#V;TxVSg?7P`nUv+2oCksKDb{jtTz1xkZpQFrdF zOO?fFtwxV>a?U|hUTAUY*e8B65Pk;R?^FBGM zZ7Y>oRo{!ORCGc%KaRP@Ym%Y?GpEn(jqP>6&mOFmUNzWpXVbO|%70cRX&dcV6Ne4A zBZ_YkR`NyRgGG_n--}K_jHTl7p=T8-;+Zod)mP9duLJ7M^ocw8=EHwhPKB)Fq+@l; zZ9wHJq1If&tlhESKdRBkWodKVgkvkqSulaIs5kUWBznN1Oqi+Z^ygbofPrDl6p ztg6AJQ%)I8(0PkxcJJFkCrw;weKhl0!jpO@r}b{4$VT8+M;Z;4fvER1&sr2}sL>e| z%Mk;V5INYfW~vZ+B-_wi-C7=Tmgof1ew{8DpT=*2MyR+lrEn92y_D9jT`SW7c?tZ* zqI4Olt({|e7l#-jtb@XmAIVro}xa;S|iA;H{t4i);!pr zCLw-&6pBUuxQsLsZzW}b9FI_(9fEYYGwc!5i~9hsniUBxAt`Lchjd~b8g;DP(wKL7 z!cBTV7LtZ2X|=DZ{7`UJa9*h|sXb^f7z4|=!77R5V@exa;M%o)K;{0t2R0-lzM?oh zd8tB=GefXXpT;w1&dj#2nvO?*Z=mmh!GqTlLP#wuF^xR1IxaLA#5u|1kia6b zzR)VQD|NuOS5vgScH8vjupw$eekDt_84kv|BBnh406tndui}p#D%L;6q$)mW;ipe; z8O@@vemnq_h#R2>doErg>|%EqVFP^}iStPGLfj=1--a4RhMR%6a=l5bKyyhPX4$No z)2C09Z!LpfV&mfWgV8sMiX6P7qWSD(%_%(O*LPRDjvSFaUW6_Xb`yILm~cCZkaCU&9+^=Qxrz-VoYkgzbhQTaSFLBY>&=IiSlSzWh-v)_u(OXl_AR?zE|5p@NGNwQ7w zs>$~rN{|hfM-j4$3-snfzu2rS+Db>+x_~IwiquhwfL4TTau@h z_70itopH2NFsi4^h-arBSJ&Y08edRKf=+<>2QOmOjC<%;;h9 z<{B9pRTJSQO}m^ZglDs^Wy}*A_c3Usx7(g7*l~KoVtX0wpU2WKhs(`_Vi3W0x=Qmb8=F>ch3?X)n?U zoR5GQyi5%=T!+OBLhqiD?G?b0BmF z{JWB2xgg4vHVjtPx=}ORbRQR8rI)8#-38p`&6%UgicP#u;aRmd%4Xo3H8|Pq{dz z&hi~Kz3|x(I3j|8`U*Oa5TF;oyM%d<-bscOA{vk`QT~Y>*YeF zy_G1ChIZ>f*5t8*&|z>*Qah{@#V0|BoJWwb(yh%a4D<2~M;pAmL)_=6pE}sBgUqv@ zvA6}&5>AhIXi4MokvA^bmx)Q6QjR>sa5sX+IhUa&QHt1fKICjNhhVh@R)5KA^`d^ zs+!@qT&BPw;?rVDMn&myUJt7NO{^td8JG%}KRC^{Cr!3gwpJX-o|0JO$$5*hgbKY#{FwH)+10zN($<;z(zmha@re(!L| zOJB-#t52W$_wDR!?!pnb^t2N}EO)r71qsD>1X>}=1D@yXWy{RlJ0h$(L=(#>q=V^Z zu`7l&F=#gWr2^FsXi^N#ud{PKN{xnd7oD6I%iV2dV-uDahR|KYvi9vej|T!p4J(u6 zSOE(-)M;&C`xC@H0jz303PIDw1QJOrEk~Zydoi?J0 zrddNI2Nr{k-!|c5=K8?5m{++BWVAZW8bu@lKTkNJWLPghT#Tl`E-vwF+d9`3*BL73 zJ(Cw@S_KHo$85=0Gm_U7MH7h!7Sta7tdEb+$PsQfX3YvEf4NDI49;X6u)9;92wd{{ zGXo?Jpy}jcPGhPI^|(DpDQZBe_TO2DPAF8Ni>4tI`x_5kffI_-lgh|y%a*kmcb(f^ zRV$pRf0!GXV;$*o-rKi57WvrP+BUP^O#LRwj-15o5IO%S`Nax82%28m9;_{NB{JJPYMB*?p35;waME0?u5!0>@b;n3&bY+c%*L4la}7!bbUxI zI#8FCL#xAszP3Q)&b3Do`~pA*fgTW#q-rolLmo3FXcsr*zM8-9EN=hIR5S8n3lUJv zxlXCmS<5!%i{+*s7iwH_*XHb2$jR>HDSgWuOrep^z4=J$k& z#***^%evN{9;>>HUcGK4XyUpJH&=X`cjt@ig%g7k?gf@eC6<@xGNQH3=5EJli{_o+ z7MeD_f%|~a=JNlEUPrSuo}t_vG}HvrB1)y(s1eplglr8!OL$@uMZ>tRCtpi4A|6{{j~Hu9W7?%MoCWfs(nk0~^bYMdz(~a+=bkCr8}hWWMt6so0b^ zL3&$O=?-tr+gGl{l!9`F9a(_!%h-6(BJFf#S3V~2UscVAbHsEV0Lh{vUk(o8nEQ*$tqA?Yg~KW5qfRuv57tXfnGI9ml7XWFf@M*wABJwi^_* z1-n}mSRxWltV()w=X$n2qPPOesSX;Xybm7?@B|V=A}A*d4d8*h0uYi!qdlqXWri9usDlQ0p(qAOtmBbM zH4UL6eP!}G^J;U>1g|MEEFIkU?@EPfh!H{ndl^*E{ly>HHJXNvNB z4?;o<(rLI_rL@7_Hjt9fve&_phfvqkRO1K5svY;prByZ=%p%mEaom-mPlcv z{_`yC>-2L+DvTvhm+HTQ;56(8h#^hT`_*y&$*`)&JV@rs#rz2llX?lZ7TUEl-8FR} zmK@PpDk+%;H&Jcn{nlb&c=rz04r2lNC6eg-=R&rNjJ*VE^|eb}_o12h&-Tj7dnfj2 zQ?JSP?c1Bz%><}{OJI1*oA;Y9*}W+kJKRIZbXX@z)?rT2kwO|=00_2=adm;;@kDgI z>RGo7ew2Jj=c4B1BE1V#Oj0v>R|4F_(E_VXBMzH(V&8#d+DaKa>#|j70gaGHEV}(_ zcKn=6ixrk-HQq(E`N?T|jzhf@D6i)bv<2YCO=uKuzj)uMnvGI2k^i z$beb1x`ilkEjgSX>u`!op9V#;eV|ySqy)>ME!rwWNFjE)k+?rBv7oTgj7yUyvlV>Elgh}BjEnzMOfO{M6VB=vvlcH zGqYPO^eIv2vFx7w{`CWN`tQsn>A_g3sMGmGLWD3Rg5+y53-`OacDCw%n{ppm2nTMP z=kJi^#GwtAl>9$Ax!CWVF_h4*3v|S(fjy};g*UTHxR|8a!xsZX+Him;zYRRHLx&D` zY*gQYv}cpK0_6InkY9~qj@zm+n#H~YP?KM~dDDbJTaXy6YxCC&eK56R z@!=am?IEJ*d;&>z@r<){{Ub+>%Bt)qp#+k9^hdKPiEuCs3@th_R=d*NDK}_7aJgM-rhSA-bDT$d7g}c)nw441z3g_? zp5iia$;P#}yRQ;VO?uW6r5NyN&Rmw$o(*p^NB;JYF$vu^@XKGjFVSNoU>)jCsCq%* z$UZ<#ne6u9feI*R_kxqt3k%lWcSqwYy%iQM!B?4A?*#=g{%n1t2U}53~5zOGD* zBEOx_yfU#viP1{$El7gzj9O(gIoLQLp<%ClZdqLQToex#49&QS< z-&klqJjX(}Y`q8#_+hjqtZfDgagaXB%Id-`0BrmCP?0uv6}88uf=g4Ho}8u+dCZ~2 z#XmYT{F1T4jxdE~4?AX)C?khv$XD-ay&-2Phyvy|F(v}x--Lck!n<@!v)wohU|4qD zy~5)?`>5uv)vX`U@y(mPzaut!4_F!$La0oaX1>}gsDA*s#WUQmA5`VCMI$p3*Sd6X zenu|E`ek&gk_;lMX3pow+y)>em*@1OJBZ39c_=c6@EPgH^L8v9R*b1_(#STE3zRbo z`v%!Z3>rD5r()RnXRG}8?TYE!ak_WTC-*$9p|RZ8*oxMF7|In!PD4II={7Uo69jo` z$aU>eqZlG~5OSMP8rmcZ$p!=3!@B59B@Pbck!S(SEn7ZBFxCr@nc5>V<~m?IXWWKq zY2n7quWbcAp?86Kzj>vl>J5CtMt|%uZrZdK9M)2@vqXu9V8eib%HIbCIcQ==PK0Ab zuEj>zQe))P6eoK=96c7a@_$-@bms)~jG#l3D+OFHNJ5n6s~_&#hNjE6`{vCMV)n5S&X2HQVT^Tv*k zBR;@l1{Kkzs2!`jH++zSf&#EU9XS1U zvnQr+>UGn;zYehO@}*1l8StUekyPGM16zy14 zWJfiPV@2b=6J?U#d+<;btQ%Bj;z9fUE2s9?ea$rlCH~#R?K^zA7>FuF=CV|sJ6;}9 zdi-8sWaaw_%9_j{?>5*Xe^5h(Vb}sVT4kKT!!n1%jNzO1(TTH7e5Ys53{q+TiW#XP zYoG^#9m(T>32DR1?9}l*yChj;LnO@3I`X2TZLT3jakC z17z8XQJgTCX!02ZL0}0m<_J40ESU3MIPYUDZ~FLXQmYSf+;%erjhA=dtWBU_pJA^X zcwu@Q-!YHh!EG$DjXW8Uxv=e=@Wf^-I-k6~I6&f;A`gM{2!ewasdtl9o`q~%&NxC5 zOOyr$z3!vz+7QT@&WA`DV$byqq1UI=C31iLH9HhvjLR67$pnV9lACN+pbi<`MR`+h z!Rf3L@G(E%Cd(?Ox8+k)O%8OH{HDHjE+!2Vmi$nC!1@9!=d zJ^X%poCX1F_yVXR#F)qJKvn#qQ_7moYJq+wzqnT?!=o^ZX)OT+oIJSs6F@!c6u&KM z;X;gUOw6QNKM$>T(lL0p<&xDh)6W2+XLe#TgZqkd4-N8E%Hh?)sWx2Ll)@T)S7~Xf zaPtVBh?n9o{y@l4dn4^G)7Q=|=e}Yl>59dTC*-)XZ$Lo!t>w^AmB5}&4DPGqSL9Pu z#;MHc^R@%}a8rO+dAWp*Fb_|&SOcl@b));6_JbQ&lA~%eq=WRn>=&wefMn!)Z^a}< zmlL(>A@K=0KMO{{tRUjjN6z54*lE=FmZJ`oT#ik>xiG-`{S+}+VWhF_G?}3$+)v*m zdPH}lZ%DFE$u%7%S}#}E=182Ve>-swNe&_V6AMw!9;X53==k~}y$C~5%OlNi%Ts`U;`qD{=y`esAKhARTH&S?0 zpv1%%9u6QI`Y&o;2V=YTdt+U_zxVi7mXidgTkJdLXL)p5-{rmrBoBCN{qi_h`(XFy zO{8Q;2W>o`ytSh0oQ2+{iu)Jru3iEe1TPdzA{ZAdHoasB5Y5XsZ>FqSBdI_6a9LDC zup`}y_g=knMFaR}{LrtU>I~TIaUzkitc(mBT&y+o#E_jRDNcdwc);PzTvrFl6;M*s z=FP)=JBAvmKS2y7CMVv^T_|-)Tjp$6e|)%gs78>d9fBWjqr>ZcGJrQ(-V+qYH0Wlp z1`ICFJ==P_Jw4l^JaYa)=;y;VRvnnLM4`{falJdlYxNH~sq>>afEv5Wc+2*{`7mm` zjq7%(bO>swJbyj@PsfTZ@ z7X`2?;WN6`k%)CazjM+3*&WMl+8F<51-<256lR6ZMet1f-oM?mOFn&&E`tc-5jpnJ zGSyQ8!feacdi0RM9-(zGSv1;vG>;K^Pjq=l8FltF-Ci+oho}uC{XUJp|CjO$!r`4gIZxio3=eiiBVI?Ho z8`KDpNPS?qxtBXv{*qW_x$owY ze`GAQ_?~KuAQQWd>ONc?KZ_mn8%p>fA5Ai>>DTJ+Rto~q?tkL5%ig`sUU~HPYzjE4 ze<>2Y7}X8cDOm4z(bfEm{E(I+mer(och=c4`SJxhHf? zrv_7@g;&3A&UTCiUE^~~d@S&C_oU>@o#X96e?yQ1RDAu~d*sLtgiT^g$ANaQ>n}G^ zwxNXLXlx(b^pHN|tltdp<8FL7`C}dZB?@m$k4O$H7Z}dN-7PcRO{=_H?OnR${$Ycz z9l!qyju^jqu}Abb_MT1dqc+aF@?Q3G+T`J}cZNymkJ9-jL34prBnKUulHG26sjjQ5 zYxFAr)@|GFqCW!gZSS@%=#2Ra?Hkk8kCUjDfTkE)ThAv2;~pX}$y0FN;0bU>)k~WU z;?K=Q-w72HNr43GK*Wb8gvXBWDd*liyt%PnH8w-iQ1`cv;rF}l+P8~*5tj@dG~S3f zn!4wbUYaaAm>rd#=W^e^b;dKTAf3Cny#*)~&8fN)8ayGLv=! zCpQ>RhW9{DQ`!?s649U`^hS0CTFKW91CoR7G4@OXdfC;RuH0 z!AOeko&{P~HWMy^3|>9^o(3uXQ2gfSGmlnj#-o6hbKm{=@k0a~u&4;~_59MBt3Lo1 zZxcJrAZ7nSLc?qrTlTQv4idEWeYWh`y?Y3WbF3poL@2`)VLt5bA6P!~+_)?VV`%xe zZ)@QP)^1>#!f})RA5bJaTgcwgfxczIFCw$}A zBT0Zz)@vkF_&#Q+X>{uHC^&6bbX>QfM{j6tAY>_IiH_Lg(r8lh?W8vR(#EEN#JdN{?7>X5(JgmEMpF(S-?J2O*2IUQ98)B@7DxjDun? zK_IWY==SSjJrt<+WpZ+4)SklNu)aO^m1tzQ&M>j>9^~?L>o}vd(TQ7qZ)PaA7=fmR zdLVn+E7T#;D_oyhXpjAw@%moCrKY?4Ywk`kzJBRa{{8XGaHX-cLf_XpLkUhV`3rHIw7I-pFR;GxmZtYpS*bC=F)4&T62Up|Bm_eJy z6==$ujc%myU@_e~P2L|1KKMgNEfMrAdbsV&X0Hyx-i`~lqk#VJ*FntDkARuC+Z<44 zlg#$-(L>XXeIh}{9Bi$AY+0(JQ1Ln}`4Zzmnm5m9EX_mnA*r-EOS`t+7#Vnu!7ii@ zimHl3$7FrNs;Z$5z*$i433vX1-lX0#p(sW$cX)BO$(})d-|F5U!z%mlm%gjs1-uCD zM)<7b*>qgaS&T^jamtL}b!kBO^M0ee3RS(*QtNJd(kUa(5G03)wPu}`@9@=vltkrG zd-N+(mj8ZrYzfW5`7p7u?f?GKfB)^S5tVo`##B>d$dIiM@8x$oW6u1n1Ci$=OmfDX zZsH#IPng7KiXFv`8<5_^EhWE!|CreJtF|8;{P=6@jtcvVmOSa0w0ZTG2Owz8n#G(c zoIXs+aL(a5*Q4{@=e$ zc3+d1^#ACF(CjR_LV0(+)$9$)E}1{`23ywa$3f!+Ja5J@Pjk z2-D%VH}=~VxkBs}5F4;bQ?^#0v3r?+MxQ#3(+FX4D~7VtD{(Io=1Se8SqhO<@3Mx~UtBF^_AZCb4-%6LqK46lC z%fueMYDH>KfqE$wdB=O{BeEvl&ktSZO=>IWbKijjWqQu3$}+H ziR+I4!O@vPA$&X0a15kCr_B=T-uLO)%0SFCG!7_t;DisNu7}xX4KT?94KM;sC@-l$ zDD|i&j!wCfWg`MHC>e8eb9kg~UZc%VXbl-6Bn<@)XbQW~J!QR~0t z_wkJRgM)rAUAAln%7ni%Y;IUUdTjWPQ$|hnO|sl*8vUxV1ioSUqSFXIdYt%4gb`>g zXuie+AaEh?iX2CTI(0EifN^R7J&D6tBWuU}MN=3>@k(_`6-Lt_JWl*g6bQ6kz(0WU zphu`GXgD9m#56=MK@B8b7TqUKnRk^MSykU>*bL1Npk}#xbuTHPCkUoQc>x$eodQnL zrIUhLj;MZkBtQzRDpa6}g8Fe>1T=J!DJg9`tbbBautwfSaWM)> z8G!P1N?P=*-}10Y_2j69xx{aD>i2dZ)I1ab?-d-Y>qEcQ&YCopu3b!qVhp=*F~(ga z@lUt3#f-(zrYDi;Nemwl=$PFfJ$mH5tw484W6Qt?VcWiZ@}GYXJnhcvjAPw<#kBXj;kRV=Li~XMGUB)Ku zl5prvCbu2CMhteeht;WmJ63P8Kqkcyq(9I`j(t^2tN}8}2|dra*G;|ROeHmv&=ld& zIqhX+5}zA7$)(TPtx=^Xp;i&SI-$&~`jJz67Ndi}9)z$;*3^v~%|IOB+&PCtZo|LT zuA6A4RmDg0CuNL^tA=hG%FT9BI%B*diIb54S2#fGefU2Sg-ZY}*(GM#4?4nRlVkY= z-O%bSj`Y|}t{Nqu-F0v{$?4V*Hou9o0l1NHBYx(V&rvHcTTC$hnZj&9gmX0{f(!<@ z=amVCfH43;nMa1PPMf_JYMoKpJ{#aCqu6#3OPf7s8QdTR6*}c<$R79z&U>PSW{`P^ z{BTedT3_uAjM1IQnie4$92PKOeL5&a31HDWdhpzkUb8Z>VF_{}!DYO8w(c!F`~qnp zHlS`JxoH*XF*Zqw79&hy(8HVv#Suft;=AG;sRn?QCr@4qqm%300sV2QPogY9|WvZ#t3lfCy?>x)P40d=xNE`1h2rGbUkp|za0xYusw zl#K-Wqh$LtMiv|cEi=P~Opr}b)uBBYe#0Wy_EVi$)8Y5%jcljCZs_eB-lTJHv)uOH zJ2aFRXKErLXZwq1nqn9mvkYa0V#_YQSa*UdcCqlRnCclCuQsZ?8^Xfp!`s!_+n}6Q z$b(4*oW`&3{nM7in}AGD4lRHo^AWANC@k@pAh_e=se{K?DoZ{ifv6G6gmpb(d^r!CcS-A?}0zb)Df89T(S> z$V8gN8Ul;;q1nNC{I|PsoO5O@V(b+GJe)$JbG~syCR|ff=a-i5cv4!5P{?2Pz|UxG zh8$0`4S)7Q>o1Taz?JPQ4Rp3}UotlVO*|_+qyVMgvSr&#hS!myOMD^Nx%`=q-`m0{ zaAvh-sudj+K?hP{qY#l939ZQxWrHKpoptr~!0vspM9Zm{c)E?3W9yHxwDrtwH1PGOZ$lT(#v%hH#G`tfo&M(rf|n;QG9~$bg9>Jd9Y2LZsDg(z|AKahh=87<{^xMfP*KrNYig z2C={f)_rSQKb*QPviZk4T2b+Fg9B{i-dF|9ox6CkBwuhZQ8mqixwo?mHSX1(Wy>VW zxzJhMJiI9+B}4J25O$9KU33Y6CAb!NLkODjBiAE>&;{~!mH!J)l;g{H#PKH}QlDVn zfi@Cijc%2`KR{^Nhr4;OyxH^T&pXM; zIT$}0P{PniJ`*DW^Vf_*=-u)8k}4>QTJi2y zE-WI>O(kVz$=HQXmHcrT_N0D1r#y?`DnY8TB~eGcj;SMBePlt%XW%xrGK)gME`%?* z_65zt0YgMUOnQ1pN)OarlHJM^r&go&!IL9%3Pub&{tExyzwzMFu)?qdR$O0gm6g{l zbQE<0sbR{c4iIG$d*E_NH_z|~ncwmnu@8~BP3lQoCb=Q*Lx9!EYT)zd&xNL-OsJ4q z+jupAEI0;`C|3~m3$aVA!|@gKWvCe}Aqd4vxF1f_a+*H|TZ!<6gvH}8*6R0HZP9N5 z1jIqWaKz(cY(`ScweGLcwzzh_T7Ot1F96bmNSr^fnmE51!KAC zI0MnS3$9Kq%sDDG#K}^Eb9-Gv;YXYyhl*G(sQCb`ox}m;dxdf(@!3qy85#k&8|uc3 z7vC#~e9!1$E@kyQ;@RxWBO8ONRUyD{T16aE3|opUmuPbx8M{pVf3N5VT857)pkmBT zJdn2B(P5EY0w*d7@5{H8c03eT7Ew>^|LcayOg{`r(BP(@}f0srvxbRPg^M=*uqe6jdP=T*@c6h_##W z0A2|w%NIlwLq9K->&L`-1v7G^*za+z$+-Fc<%&Y=Hk0UC45 zGT27~?ZC7UwU`!qiyd=;5&@9Lrz&y1lR*bm^|#wn!w3#*7yua%6Q=L^6SR4 z1%*>QAN=F_*~MUS^L7+pK;2rb1Ajvw&g|wK^l57^rQ4a7VD5p%(*gyAAe6t<_yhnb*?#Pu&^^d<8*#as}+&7(fMGh%2 z#n45(iCCYxOZkm}Ht3qWC+U+WNnA50pmZw1O0sya8I(~% zU+)I<%Fh;gpU}1-XrntFTJx`kXPha$3iWioaqLmr%HWIyh`f zg(}vXcIQeyeOgt^s9Dy1r%A3^=Bj}$8USXDN7~B`B9s0OKarbmxzC__+%G)NB=T%cU8!iWfW6kS=k4q?FgfI z2Kx5)KZh3$M+HV`2dzbTX(plPwWE%|DcMCf)va4C@KrLm#u4Kkn+6T6CXz@9+?YdK zNkE_#=9+JZpA){1kWy-6YARp5;MN9G<>2;$1wG7G=I+Oj3Oa==z3cG@Q9I6qR*<{E zxBHulQ;)c?DVCOZPVCUJ*#j5}h$}A~5#--QYeF=3Qil0EeEPBtYT9Y1#*JII%>LC# z_Wm*pJpS5IMd!WEw}^wxC@|7fnlJbUc(80o0~ zfCWNuO8EY>omKxR#%frko2qW&{iw}mM=YE^6sMH9xZ(n52f@>Go}_4wczm3Zm{w-@bk&g}i1% zb_RlhnA{drEL}Ts`QED}pZR)qQt97Uf;5ge_Of_=GfHHCv?eCn; z-wU`0szkZ~?EOf*(2_aLR-r^qCWwWK3bLwoI}Nn@AOhI2rCFIkhff)3lA#J>VaHg&at1Kt?LmXz#W9BmP5uJ*rv~aKDK75c zA8n=b{n^5BJ-$8hWtbuS9OjJas=#BBak$`@Y=|00m^_T_9ONm>3a#4!eo z;&OE7kur?YP`s;n<1)S5NgTKsv=1zpdr5<+DkLq>DQv4>1&8-Kmd{DzTcgj=Py`RW z`gZ`xt66VcKU9-R=$j3G)Ho*p`i79H+AaN&XvEhmPl(gt@jVTCxgEa1hbdH zxD%WgH#5i7ac06xx76MSbM7@6Ux0j`J|Ta}zWDdnv?m}q{G@#jFU_>(GU%4W2+F`G zWq+KhV*Sm`;^5ITdy&*aMel@IJU%XuFG$cXo@vv&W`F29Ia$-ma#3n_wp(=G zc`gSK#^h$AhlFNDhqTad8DmWq&deCm)6fxklsXbo|AuUm5(WCD6=>bM+x_k^lk7#l zGjOBbAVA>30vz7EM;AMw(bHpbm`6>IU}?pc)Hi4Ahi1%W;T}GTnN%_XP;!hxP=+Ay zAUx0hjo*O{FJ6rC{&OZ88J#|%PQ63dXkee?=lE+w@|7h`29DJ+X0!Pb-PAg5gGJSs zuMV86Fj;#!xw$O6FL4`zexK(_wanp8fhe%(VmF8JTpLeJHM{?Re4Te(&wbnf?Qt4q zRme!zX;fw;B4zJUNlTHewiGE!X30*n_YR?wB2q|_m6WE0&{B%j?|E=t_wRTA^Yggx z>%Q*uB;W7n^B%|XI$k3v5k9e^!Z28B{K?m^Upqxs1}@fvuQnC{iUndz!0q3oa+ne$ zGb)a*@%HIAmMK$+rZ)IG9m{hqEk{?w#3HXBBi!P?u3Nmmj@8K9)gKd!U9A2s&a6Fh zW!c?bi#)zM!yxj0_hYREgl+M7LCivRPdF29^V8{71RowXsx!RtzYO=eb47kFgYO0n z5Xi(eH5vAxUAN?4KYubl-w2KLtDBJPS+wquy$!Y1qAil?f~ydaPF}qDOvD>fR0O)C zdnZ-GwM~5gg%@?YWL$+zMUeNS9R-A**@k&+p1Uz24_f&_K7Ul5;|eAG3}F>KXp=%+ z4~~i=;!Idr8w4<1ZgPaPb2R_h1#fs~&lN-ZxZz}9{L;%1BICizf>?kuPOKIv1!zpL zZ0H8P2z-KMTQ;Z!p8=X}KX12w{nYyi<5zP3ia`U5;25|T2Lv!+|HGAc*ZGJy7|H}8 zlG7k_1AqlmG&l}Z#oSIhWx!$l_+lB{5c3DjjNZM&%oJ-al?hX(#QnuS7-aw%94EX4 zpG)Tbe}aMqTBo<1vR|t%q_0fd!A6$tlpLs~JR!9y_j1Nqlpk#pZvSq`nTKGW-3AS6 z0~z?mr`rpf4Wt{DtTn9B5qI!au1SeMG{G*bXHX*MLdw%cvMfgOur3n_-9nWQDgfE1 zt`)DGo`AG8v^Wc48^Y-*G1|=>%VPDX9^&0qQWBexu=vT)fd}aVDKeNt!Th>ro7ADE zz`JG>)m+C}*PCnjQW*iraI#q~^#neoBo;BzUM*qi(J``ew~xpwRD%piU^>MV6(0D5 z_^jNyV>xHn>C>kL<)ut@m=HrjepS%rKE*%3`mh=>&pT56zE^7RqpB?vhHO<*iJrQB zLt_3tSm`d6?rqKg4nBAfaz()ju=d|4_HH;4z4#RO*MOQVWNI^6e_RtU$cPlahq%S# zQ_4-Dly!-eoCjCqF6FGYIUe4;i-O1u*Vk`sw_-*4=cw!8UhqYEGyZ@yM=Ah|_dP5+v^V5{TN9^7Cd9~(?= z>%eR)2X}!SPI0+&aGo!La?EkOHsHa>fG6#EWTM*zvY^?;V5b-K8W1~VA?$H6;D<${ zmK-p&=j!yHWOV`pV{3Hq2*fyW#Mz!%=jUF)45Urs%XHW9ftJTIH-s!_n)|V+2qMi! zJjqa;C~-%LMI?%sp1pdFKj3obDBTEm=|6tf5Bs0KSG^)EEzWnUL$RA)ld-?7Xfg1j zIF4_SiirZy3^cm|!Bd!GQ!0X3r0=+|&$8809A!N?N6<~5%;vc_3L3xb`CZ4VVWX&V z?`+pQY(m%WleU~Pwi66>QT5tB5y+JmJ%&s~Mi1`XOwy!C(rInEWvwvjg_TBFAHE5a zp$B3Y$Dl(3V+j{`D4s+PMrb;b&)ykDu=>s@mvbNlTYYcqRw@;gfsfQ4nXX58j^XpD+2>l2nGt79$ zF&`mjmWWE~EsEIz>vmK3=Up|6UM-R^%o^MQVCG$0*i_=SuwZG|e?IG2GJ9#Otow-> zoo&*A2k3lFZY~ctHa<#2Cu##kvg;W1F{fi>v<^)!U58;|0Jt5kH)=%kjilk>n3K#@ z9v6mnvPtNGP{hPpX=RtVKg6M*GYKxZe?%(>^3N$+tD(!E!CT|6M1ec|?R(h6j5OcC zaFr}eu-uC#SA7r+VAii~YdPePv3aiJuu967NAn$`d$fG%7S#!bn?+jxmlsm(z#nEG zo6b>xd`=(3a6&sjmxtG7&@58}kV{75g69EGw>)Rbg+cY)ZYWXYVzIgVCXz9c3_;c_o;CiATN^vUhMr6&yXe zwmNy);r0$8(7Ld_;rEl1x#|9Z%fnNF!qBko)T^yZ7Vrah@*Oq!nb^qk2Mv3idzMTQ z^@u8m))*ALn5J58mzkE9dhhXUn%msJuTz;n8!~Z1Uab3`1#VGm&0GQ-Sl0#*cR6k6 z75d_n0DWSXK%;Zq+ThrX&3PBCqL^rPu#^76-9)NBgbF z&wGFWZm#Faq@9Yrn>OnCoR=p&e0UB9+q(aaOvOV`6*4=xGs+hU7aTlzj+x>=H8o5h ztwF~Cy_~Kw!KYE!QgfHqmEjyquqrLtE1p{$B7+Ru3CCn;$v}k}VxZ|L`q%6BikICi z_);Ga53Kyv3vIN(nbavf1G0(1z^JDATT_Z6!oqfAry$u|L>D6oVE1qv>2%jvkG(LR z7f82vHa2D5v2o%B%$&LN<92Qi;*6~jn#fcEX#%>%VNfs;ev$oH7lV|gmUt4Z5i;Yk z8L~JB;jFf-XCVbsNmlr^F8`#qY^j%4T9IByB8@qR1Z4sHVrW{ztkq1tEZcL#&29%X znr_?6-4ZhECgPw0jdm)QSejHn%6Crj;rPsPi!xN+T6k!v|J+7dQ1VH-9gEbRfhyF+ znq@urd&B7ZYOw=34*SI3XL)O{q zl0zs|1+3+rEFhFfy~S*2m ziY+YHCZ~GMnh{cXumU}c)?|q(0|Q{MU+GyEs}74sc7%$(+?-`vA6gD7%rx8dX}x!Y zm?6uxiWg?=4mZ!9Ul-3`Lqk86(UY5KG*u*n9RQVlQylB|P_Z%@5n%$QKd};-L*bVA zy!b?rc_0fwj`HE;j1SU}_o=Y@`$Y-ybtlQaZNzOvV zK)5fho_!{zcDwuh0#R`x3^-ldy59BjA3rSHbRPoUmI6o2m1rg>jZAQ6W6Z6Mv3DYQRbpB=0ZejyqIhsE<|3P_d7#h#fw*u zAFl_cd(NCa5$!SMk9hZOSwEc(A2Yn+D#WR%LBZu#7b{=FuQ(zAO*Ws=hiJ|2#|R`I z^m1cwQ+fsF4kB`4&#jk+aExz504`clHr?iu5&Q-YcMgcnYe@<#xV3gJpQoT80oxV1 zH4F`fp8$L2NT=#c_kSI;I^4(PL=z=#?L?ETo?Rnm@7=dglC-!6_?roEc++>#;svT8 zpEiK5K)rN62Hxdhx}hh&2SMdOaNsdNnO;VGV^}v7+`J8V41iAJh{hwL>vaN>Dm+{# zaBib}^+xdc=s1{oic1Bwz~t4wrk9|Bi%om*l<+70%ZwiNa?106-E+MD3=N7!WVmD3 ztofyAxGj5B*MsZ+>1E>MGeyOPhFy5UwqY|#EricG0b(~mxpni4_{PbG!MBu$D)kX| zPf!E~b#K(7dB5*?FkUaI@frAh_0$t7{>#2qtr~x&>j6p|U=#o&;mYt3X=?f4t(Z4) zy`M;77Bq$T!gLBV&*o9@G)(jU(XMPh?qd*RSb5797TckI@oogzU^V)yq<&h>4JB@g z+;TKvt+nk@7Xy|^%qicAOSvb+1tj(#v=3(4ZfLfZ<38po}(|^E2&e>-*e7 z6ESJ(=1=y6vvP`j>ux(PQH`7tua+_g-OVS1q{264#VD$(_Is!Qy`f~=(jPF}=6?e1 z(&oVXVmbm(v~ZEfXE_had*#;tvtoSYn0cxd;oU6ncE-V+jj z=)8$`(7qI8+H^&eMe~vdT2$W&H_lFvZIYUU-HD)Qoju;~RO{r36_{A_((UeMvI~cT zJAp%$-3Ta#g7X0PKF<^(JdR{tIO$+zKX`E!n2VVwE+Wm3yD=dd;C!p=HZu*`b*V$< zwyln39y4s!H&viAtjXwv`W+ZG*?;>x`yc0@HY1>7!UUBcON^14BUQP3x885&>*A?z z73-t+_9yinT>+rWUnkk2aR-`O0jx5J6^6egnqe(F?!?U7E!05w`Q1yGE{*GJ0Jmly zc4culyY9dI>h)`(G_k(NFYo1ZT%7%Ka}7gN^IUZv1dKK^dc9*YYtT?H9_9kFcm7yC zzG3d%8`3SXxZ68h7h%>6Yhip~=S6r=(46mL_kmh4gWwQnvrGIL_b00glNIw4jiNRzq;8B42DznapkY`SS5pCGK_aZu`Z%M2y~N9%^E!DFw2*6TK)A^ z$JXr_(SrV9v1tWx33D8cY;DJuwmPrFn>MMtH?Y%)`2YBwG3TMy2(9;n%av~uS$H{W z7()nX6x7gLzvdDaSNzx_AHg#&!%KCd- zX!tT%dAYQF$fB-6iB!zuts}L|z+mpU;~hsE7{qNZ@ooeo=0QjlP%iiXt~cTKN)GQk zdKPYoyJT~5sK>|+R;iRc^41O<=u`8Rhc4TT>~L6)xY7gr@GmBTdj3rw+B(2wgA>Bh zomfZpnZ&6hK)vXus67xqKwpak3&JF+eYYcAz{ij4-yUL^yDg#o^)g7OV4kM8aVd%{ za5Ep|ZNXJ2ws44c#zSc(q6%&iM5B#nX$M0>5;LRM40rvwW7r2AMz&Mb(CNpml&Dwe zKEU}1;RPWQ(?7ZpKt$R0n7Kgi&KuQT$v)`Gqeo&34g4cMC)hv03!-yqMPR1B{yn`# zmsOTc`R+EdEt3aWw!i_W!Jn|Z!x?M?+@@Q%RzIv;;{N>IdC$5fRvCEY@7kqLYtES! zR4=Qh85w!w*yE_v#YcEfpW&bo++85z?u#~LUutd5*(m8-fSGS}?cKI2ar}k%h;u15IYd z%6t(PA$>U0Q6e$M8yqnmv&IXPXr^Gmhb$JsC7iDI&@CfJ!4G~A(_YYRZX+?PWcp|K zwo8W&IwzmwlLP$ZncvrP0kj*K-U0#wCO!3`vYi* ze#lkfqgerG@?}zP<*&*5)fu%I>RUxfW2g)fwW)Rsr8y9fDsRFxh!F<83Ot*nJZ%F| zg)sF{9kY3noAZn=n%>yTY1-0bTxcpoHUS^m(xj7`U%yVZEh0P0DodAH zjh3qfDK9Mq!#ypzRWvn|`xv5$RH)R9yd*(gI8II1iIwHePtND zo&slP&aU=ER3mXSznXD*X&B6CA zBCn;e@i_TGPIK2M+*(=qhB>pA=Ku8+?|PbSf<37gELJ)HXc{@SN)b6h)bkk$ux ztzlV(mr{l4FW7<~<*10mIh3Yj*9o zGnWTd;BgOxDVs9Org%YWz|(f>0p7meZQibBE{aFZiS1i5FK*i4D$c|*6&4^G?5&&3 z8X&zgZknkSZBaB==g)KjI9~ThhKs=0iTRT`U6H`x5kOdL8RG05=SUp78o{H=Qp_*3 z%lP8u=;#e?0W^&WDzCjzk9lUD#mr0Qf5@m-7PPOErf#|RS=f?9Bc@eLs56#fmb7wC zEF2qypnd5R`#KDr|0Z(K5lZ0Jokm(2b~Ag_Z~q#F9|}YpGv=fu#*WOS-#)e27c9Kh z(H7ss+vX~OF6wp;bf0?$_!!J)_YK7r>9%284ruu4tHs9)T6aSd0LS);%PZOJwN)c% z^5Ep4J^fOJr*$>&!)LQ$3shp-1A|klOID}U%K2+Kdo4qMm?aVBI6~y!CS}tlVls_K1nS@#R{Nw zS%>~g+uYZ*tX4mIi0Dg|t`|5UX#mbP&T&m#dSmNaqb6=WZcmQrZ?O4-mdcoEWTs}i zc7Hfx*2tDcFYc%B(9Y_Kw^kDAFn?&)GLfe#REcwlc%uHhQT;O??hWgnvATO)-40*B z^N9i(zslk*gU2RDnJLpv?F#SpTmo?JMI-^0SCCc})L_E4N>+ zgL-*zY;z60;3*$ghN!~xVD2C}U=1k{0TDOX{o*$y$&u2ML#&;-fjkWLvdj#VTubRWi?n zhFi3W4=zj^c|ZNLa`Y(shCU&epM}0!dP60{-SOgv*iRd}M&2`Rs_0PD`t8QzjO-hM zS4O@3%zpwXT21hQ^PqQp#CIr6fVwT{t&!WOFaPW(1Ev2zbAX**^3c%lKvUox^h z(a`9^s}o*9iv2CE9PetYJuJ2hzhV~Z(4@&3e;c(p)As#iwmN1-tI9cE~Ul~@}7|WN@v^~ zt$>>rvwF8p{r;)ryk8kj?&YKxXW1{jT>K$C<+Y~zqYqOOJ0kiDupVzv! zGYO4O$>qM0X}_~4RU5Y=09r6B~j3_mHU@7fg8dhXG)> z+;xl)I+YX&;0uF`YG(CX(~4vR$K=YzFE_<~UGI3!HR|R>n%6(S>cY61JbBwmyPZ2K zjqlnGF2%q{{?{w>cy%I_Hl_scnPUH5d?3)ux*DyAm#qg#9u-{IM%AhTOv1cvoim!( zUi`TuVlBca_?ac>OV(x{r^Wm8%YSS3mbAC&K$=N84pY1pAzigDhJPwE8mH%I&)5Ym5` zYQ_Kmr$EBs{wgoqm48|*r2m(U;AGlLAMC8`#VdGob?kW;9_N;HV6gulc}K!~ zx1Lb$6s7hm;j7=AF0I4sKaY96#=hX%F6-<6eK!C7zZu=S%Yyu0zvBAq4|m(O>^}s+ z+}bYg*>zc{_Q5mi!M#T3nlmT~BH;h}m1~r9-PJ1{@?ZZKmTbz|Mslw+?0L#Xp~Za?yaaW zI_%x~=89hlS=5`0ylPw?CYe8`4qS|75cNV{YrF)uwu-Uz{ykn*=X&6&$vGzikDjO> zQ+6o)s%b!Vf_HU7L}p)##gciv3B39QqG{W+5@wK_N- zfC0K(F1Ajh4tX*4Ow_BYNfRcs`8%c{8eQw}> zOgWsLt#b7HI<7DBEVzI@hWDEMao%CU0zj%RX+p$h3w>F0?Wf5!sU@(X;OPj$ zGB3jMqmcSB#K!uRC8zC&vBxSZrS1)euP|@3$deY1?K9@1X z?jzM30It9$-o&gO0c}&p*?dw61M#z`hPSi15wMd8Q*m&7`*v$$J|veN^!y4JUj3ZD zqq55%wcnqqb`=AZChP9psV(TXZ9|-$*lf?469<4Ssfc7{wXN;>J@$_qKkb27)+2r@ zL%cS@C2xiQ3`N$@AfHB|d6jEsfw%FROAEgXJg+~85vy*;VG zahO=8i18NNo8K#GgEeJ(wJw{N(;gKh9KGl!^?Vu0s0RvTvE07J~jeK7{C-m4Mk9spqUY{U`%cP|t}diCke(QY1Y-$CJD`{ga_;$o!(=`i zp|`Bl-Qu( z`RD7iMUY%C&JS-H{!8=8{+VNDwZ3Rp6?mtMZWBMhi3=C&#hS0W6tkp9;<{h68_Hv1 z!bY%qt2S*UPkw6j4*BYIj7u^<@Jj`bKVG_cFp#Pk^9*-sgeN2CjfbGZhH)3^h&_XF19p=oB>AZ1zQvHTA8o7 zoI3P25d1(|uKE4mz2T?^&LNqsGGkGzKitv7>66a&FR4=Zze?ET9orLK{gXwjcFBk% z>QrP&v%A?Ib9?TVWa1JB(8S|wd|`1j@CNA=%Uv9Hc|v$CgZ4Ah;BIo?`_yi*by!-& z>heCF10}fU;Isn8NWL+whU$_)Z-_ED%QJjY;N8Fr24TSf%a(^^acWyY!0$8r3YGT~ zg#vj2iW+{~>ZDXaV1#fW4C9_6U9IH7acC+a+AfeTL~4MhAD|ZO3VspzN%7Jw z^6y=Z82d!x$i-rR7be^Lm+B}gALW95bK#VdKS*lf*`h=1C_TW5lKvz$M$SP z06K%|B`a1KUU-Eqo2a(Hu5DTl>m1macock_5Pal_HC+FvkmRu#0riIKCnOUPWu6qo zx4wO*QuQMJ0%YJ^m<8~HNObVfp}8gQ_`@8BiTmcw8}j%5vcLHk6f;QXt+NzkK5_r^ z$4Daazn?Q2;U#uFL@JuBvLQ>w#0+jE&%y_aX_orK0_RB9>iM(J$SmbV1=yD4KG+M; z+EcbJ0o4bQc3(7RA!sW4P;xrhWV8f-IQ3uvVw((U8rB0r1nsoD+C0+Tcj}pZe_kKF zUlvpys(Eyj3Z_wMi)+TSYUG9aaYmmMdwosgGa5s<=VQR(^#x^ zT$tl7v9I=lXoAG5X7jhLK7O&5wLgs|W(31knh3(acZ+SIoGmCxXUy1P{0W?hsksg3 zEUf~?5kSM(QkD)6R#Z7cxx+Gd8b}_GSIkh*K}u|;fx!mjPZXJ}m;}QKf#i(gPQ7gCODZ(sk(ZTi*}nY)EY{Sdtgmj6a$XHc zf0oqSl$}l?#LW$BsV}I5Wsj0?hgWSVza(1z7v0oSCX6PwY-PgY-4YW1Y06~p-%Vi)M-9^>nTn4-N&mi?!l$^aJkBFDJF;~J@+8*b_{HG{6x9W zMF|aujXn~^cNts8g#;IrMlj)#0J<nOz6;!an!<=x8kug{5XJ40H}%0 zdK>&$_j;t!RZf}#1L2vWzJg+c7+(p3}n)_ zM@|Dx4`q=d=ohhrr*@Yy1p`XbdRR-Wi-EW-LAmEeGx9=2QkfFyWdJ1<=Cyo322*>) zCH}>yb?avzWR8nBn7>*TLTeEaTZAr#9s?>&eI|+qh*mEj#l!W+P!df9hX|xVN{ShM z_Oe8`C9l@)%+r!lGtwaV#XO6q&G&yc;;0=MEY1W>y10jVfkL)MA3+HyKCEVD8JkO? zcZf;{{8XPJ7m*>8)H33=1|VaCHiFK?hKo+7y^vK?Hn{UZA@_PdiP1rc$U}zKE>RKO z;xej5>%vjt`Mc1vm%4g=%3|Qx%D1U8@f<}?!&K4k?L@Q2iiN%)L9a}#Ta&Lr_}HXz z<2D+1i}PP>6sTo&OKat*wUGOgZ+j&j}(c6 zSRK$D$y}uFpTCd4mpIz73prv%ZiP8+GseF*fg=&6HNaH>eo5oNg!_o_M`=`DHYVxy zTs4r!9UXG(r)czbh;CT!Z8Lx>9b!bRZp=;~R0dI~s z1+pE$f@hP`9@T5~S{3CT6@WBOGp`<(|>h`w7*3R_R)hwlHJ5Bo`Kxm zIHt5+^G3d@MXD?GKDtFko1REtKD1>goj81Pg;#|Zfl*h?kLaQSE8bpTR;r7v27syK z{1hA%>c8$=&!1I(~ZIgDcl?Py`hbr=PGQ9zS_$8|IXKx2{-|GKG*~q9iU-NHIwI+|vkE zCHE(>pWJV^OX54qxCwF=zOfG$FB;f>>5T()t5RN3aT^#4mkHRqn})`A$}ctt%6+O( zY>u6QIw~<*nFgIp2-JqM@k(&fKXp0f)NcPJ&t>2&L&bi8Y;dUvoXpKdOOwNgO0tUr)n#4 z!Tp`UOEQI6C2~`7xiPs<5bccC0-w}R-R%!jr2VyDJ)Y=^zfv6}SPS`h{3upK1d~H* z%8u7Y^&wP{p`Jh(45hX&S+M%T+h^H7(v}YulneSPLv;i@7?&^(>|Gt>2Ruki^LOF*#YIy3fdTmns)_95)K5U{eK! zUf9LT31(yz&kHul(qr&u;oAZCl6UsX+Q#}*wlYY+dUx#Dv6PL+)1OhrpnaS!XE}@; zC1!J66Oe80(IvnzX|s1AS`%?5BA0I~ALD6LZ z)U@9a^gX!^#37$K1jgdy`QmW5*xw-#PvATb?_MKLQ*uG!HURGeb&30_>`PxZ>1&13 zT|)=B%cOy3uH5v))wcchJ(kdB*#{*K$^AFkaUO*lXMYcP40NY6V|eo!uEB7}KAoIs zZGfy>0z9ciS}}M|r!sk%lw^3xNK|u40pY`a^nY^XwDNs;^X5&osrDsCH#uX0@(?k- zhZiJdMyMz-b%ebjrdEeXc04uf6GLexxs>+1A?+k|@qPkv2d5HXK-gwjaBr~l`!rQ& zO9{LdF>pm}iW|%3*XKYVb8oF?hE!ddpcii+UPeam6_6l`IT4&{IqvX)b|wE`rbjQM z3oGYLwqS-#@6dnA*gDkX%hRs;frtsr*`h^0_g9ahL-#?IL~$n|bWMyT3 zc1G`w5l?7@D8{)@)Z^`MbzA^yYmt=yDe__N;7#M_z1vnh=-1&#F-3jnO=zOlbn?B^Dr1gqwPP`^c=h`2vK67L*Ayma zE?co8Iwuq*LQ<>|_mQr3BP0ZsWiN^MrbW^0Ux$5o7-pa^VHPw&}bpoHpf12*0~0?k1;t}6CkN*)O{Q%l8V+j`$X)Z82T~3 zF>Li$(ZS9i+1XSdopSuWKlPrhGsFIBw@ zJ?c*U;Vu43M9IZkNyHe(HdixUnREzQV5ZJeGBY_vw^L3r>J{9dao&7(dxM_##jE&dc0-Hq) zlBECQuVl2qVO+mS>2%T1^qPJe#}bGjI9=0hkT)BMUK=Hb2)xCJO-TupoBWkc?x=Kr zkX62atXA&N+i-!9U$xh^S4D&-P3q2_PGd%PE=-4qg9(@5!;o|8jl+PAG!A9uUVFNH zJ?yC=z4OqaMvmc+lady}deCn^!m`4i2`?QXc(l(HNGot2{5xt-+g}#c=S*s0^keg7 zQ3a_5V*tgJlw_MQo{&x$&Mcn*Gl~;HUl1yH?by+^kE#8v?ya{dMkVAsH!WJzy05>{ zY1Jf;;#mWA{B0={PwF`#kY5f zVj?EdW1`FY<67#(w(iF&-n6k6pzc;KBN|7f$hTb`h{@ z{2y%#zI9f#X~4aVX-LI^uZ$RbIR0$xc_gxyDGeuHcm z3@1;R(1cEc4(H0sLL=H|==1^)96b2s*)zrY;r7G??trUI|4i^0XAN*e`wksSox30& z60Vj|_)=2Tn#=}|7QZ-qZTO=U6=WzkT(k(a5iJ@g!AumClTO|GVw!|EtbI6>SgwD7 zevgs+aRqM}`(V&z_Iuyt)?If_V5+OH)Q$v*-fzt`QqTUZp8Flndb4j1sc-LizjWy1 zT!uqyLZH`obxp&ooaf|OaUA80baJ>|QW9q~eAT;68#js>Nd=5;2=Q9i6#AQ7k^X?% zl*@1InHNn5BGK>aXFLphwJHI^|dmf{&_t5i2&Rpt^_W4vm5di|X3sBuLfNR;k%#Pc51!d{-4 zZCd=|{V5G3w<7n^_S_{uJY$*PtWK^!%hSYvdy0S6jnmD4>>m)1!klP%%_FhYSWJ80 zpmf-=x7Uaf^WVIrr>0DR<0<$AB`;2^L{?x$osyG!0}dNoWl8WH_63xXj?qnJv-n@NrwGY(9AFE$_SBY&r6B zE91{)dpR!dO+{;d+x~U;n2I9jJLfh(eAVq+fOg}ckJ@7$0 zl4!n_(n6D{EjOktjGX0P5Yt;CQCp-`oBZn@uZ>&=vTAX$md>GRjtUhfMEPaVlcS_* z)%MEJkf{%60AGolp5NrY3MYcc8gr}rVq$iPH6*NB@T|%&VaXiYN@j})enP9Se`;1v zQBj~shzg$O6A{u>w$HvNsoUUK@Br~Sq$j4!!+nc6ch&7xYc6^-R>7M_e=YM{YE>L< z*6o~+N@QKL#~yFX_jH^Z74x#Ox5DK$T-*p7#ebHGB&Mqy1t}p{31v%9(`y;;fuGe* zN_eB+uw86Es);6B23?LT*Hu{Usw7-wu?dWXlfW!MoOp1zObEKZA#nTl7#+jJs=ChW zXLd0q8CM`@&D%4QvNAM3eZ#kMe7}W<-E;Z750CA++kB;?I))~PN3P)v7sni3lF{zf zbB%f@^m1JD|M_%}3${Tj#!Yw!jA5bfoWN8c{R`qj@UDM&B0hop3TE`&JBbt38mvY9 z`E&^f;<|6S#efL~-0c4PS`pj+<{Kyv^yS-QsfyJ9=1rp+H@REHyoL2HyaoyK z>6$|DAmDZ%gO@RTo@KY11I*s7w>pRf%S~wdsQehGM2})lu8%K@;*&2NAaJqgI@&OZ zlM9(LsHy&q^sgTzb_a1cQb0|^ZM`bC;K*P%xZBPNR3D2$N%VuMKj79=MdGspN__kH zqcwvw=#GNSB$NwtAf&<&Oxo34dbQMV@HU=8kmqIh1}%f9pgAG!`$u1ebsv`<3EgA# zk~yT&1&r`dQw72C@wTgt8|~W7vSDtfy9;{-+vKx52Bz+wSb`8%uBOYad3-E48c*cI zVQEjW4UpCBj>@8;wt;1$M_XODDDx|K%i@O5?%kGa0e%$=2Ly7XIDt|Svy25;!wi{~ zcO-F3W&CCHs7mN{AKA6qcdA<8Vx>IQ*OUxT`Y97iK>;f;)x&-VvLD9g=!iw_AfRA& z_EAR@J;r7d2{F;aqI2@dAF5Q7%Bpug4~>nOB@ht2N6HYch18!bIOm%5u zGqb9v6d+t~i)3Ik?^;}8G-^|eqn?p%x!kQ%j$4x2@oLHu`vv7oO|CV#{&uv5`+`=c zE3y|Q4O)wB>=j%qXi#~q-PP6a7oNWH7ikln0+9DpPAsH;_iV$|$gz!j$2XRF(SJg- z($ZL*t*4~N{CZb}{O{1n0xB)m| zAs-k4P6@Am>(!Q?al3;Pf(9I68gw?-2~dbbD}r5Fcf;`3C_-jWADQ1{G^8D|M*a~Z zg3Zy#>QEhuiB<5Fo(-DeM9;GXnwEemm>TVTvY+lB;EN-6j!~U)o<~Nme?Wc6xcO%f zht(k5XQm$!J<^XX@2tc*gTN~0+ebdQa2qookOCZpo>5#=4k3J%P*QFI3^$W2OY-RC z{Zk!uIVcEkdcfKRuDda6&FWuWbj_Fz#A#;lt3CUxvVTb)q`{a}5@0wIMaGe%~qrhw|vxy)F zdOuSF>4GCQ+F#JvsJS+#HS<5GymYXIj%LBipiYJAw2>OG@nOrLYLUa}A8X6R@`{R5cEXjH`CFM(~pM$V%BT&?@c0r8|<#31CBMw%5fxLfPDc;4H}d0oId#oj>J7e|DQ!s z@4mfI?a-ogcxM88FouN?gB<}D<^fZBmYsKjjZC*9&u37wu5Xepw4U7v!Dr4)8PeAj z9D=P2v|v2pB=tX~L92z+EEhGyPN~gw-B@XOK(OR2V`DRRMdCZ)SW?QKsKZ~E5+fAz z%N~#vw5Mmke*QR*fMnPi{%RqLrktDwqkerElr#JoKekVwTV30vol*&CnLVjsOki_o zMulN)F=@ojG%Oz7syOeP^ZMn*-1UveS=5$?&X^xkz#!=0@cVCXglyivu}!Z!r*{Ww z?+RG-^k7x$*VDA_{~6Gt%T>0!i_X?5(u_KdxQfRSPI(*VBp?3L=?%25T?5kv(&YZXR74$r3_N|Lv2p~qxs5sZzr!wQjIke%2WQOd#<2C&~ z1ADw?g+2mDZLjB5-O#8N(+!YL2zDYa!(|G%fRznY!|S6w;sV0?eK_z6ZLa&65mH6| zF-|-=`DvT!PyFGO@D&gn>)T2UHk}~0>t#RvNv+4dQAnH&YJq0?IxHKf#Qxz;#;i=P z4QNK<@|Q7(KNhp9Ayt4bO9TOYs-}!eK_jNCIlYoro`k&=-aVU^E9?3E{9W`#!rl{w&UlE#Y#~zMPnKj?WUL z`^@9Rw#8G|e3%SCL2m$YsIyn)f}C-wWtj{OyWcLDd?ZvfcRnshVA(-Gg@S_7lFzxz znrkE9)|h|RZr5t~k+Re$kq7l^b(2l$BCo>Dpo$wep?S7j6#Y3%btfPd)2JC5h|VZu zL73QHz{OBlCg5y0>6G)Fd^AI%!SB(dY5QVb_qJ6OEu5V2y%hm5LC1WW6~VsSQ0Wn~ zuTA7YaWwAU{k_jZeA-`5{oH)!%qugD`s^LGx9oIS8c{0Vd$7dD=7i$Fu5?c(>BiQBb=H+7`zr4qqr3h_-#&fB=7X|`w}*Q< zlkv|z7Ik=}p5<}(cU7roRm~6YyXt|uPa>SsjK5UF(e}prPqXTQCOh`CF;;|{9ylef z{aGsAv+j6&IYJ9-dIYAGTVrdi5L)d8W0G!olTUe~e?D@l! zPnN5U4HOgd#*I}C?AXjn*TB9(oXe%6jE8q#rM7M!j@p*y$ztjY{*-cTo4r}PM^$_3 zzMTi^kXa|Nbzs!4M+e*cqU&TCXo*M1Oz9G|~;; z8gtVsf}fQd$UHO?VV$@EZmSW8Kan;L8S?5(*IC`X|3(NTavF{S88=LnSDMen=;tKg z4=r1^yyo?LwO38q5zA{&#Fx+h&TqF8kAD2vzrRX{K)w@VMCdf}WiuFKVer1uJu594 z`osrz@lL5VX1m14Z(UM!xgNeT;IR1lo!{{&*OM##PU+&~rk899b&$DnP(M7xOlI!v z`QIPjt#k-QJ=prUSO1#w#q9fW&jUoH_LzHgvo<5B6Dc2OzdjP$njh@y`n|_&_x~qI z$TONS15D9cb*lQm&*ksax!lG=sXuq(v12)t_eLK>xJ8ZgX8G%oiV>UrTVm9-{ZE)u z3+xi_esbNC{HbFDCtdq~aU~H~6%)q3A2(Vz_2<)o>iHh0Ry|GD9DOWec=Q%fCZ%od zPR&}6IpnP<^-_h=sxI6-J3DrXdbb`>`P3}0cjm3e@a9|m{aK2UtrU}o#ki=~8d;d` z{rItW>(;q>G!f7-#jSz-oj^CXKkfi_Y9NG zd1}t;T@No?TQIKXQrw@V^FJ>_{!8kX3;#T{#;YtO>0Tjc8VdQ9g?kzUF+5uMb5i>M z4bz+4 zuNQmwHLCW(gMT+y0k!j~W#3*(Y9^KsSFbMK;AV8OvLVwhrbxvH3YGuH7pPd13YQ`<>caxIs;t)(yI_v9`vczu*#$d>72=)P9N0qb!Tx zdvD)1oi%&>+j+ND^!0b~F;(UslCNLe8-f+|pZDNx;P_(u2U@cuG4%1+vW-;AlHMtC znGD{>Iy;x9zU%SlqwMsa#N`3j>j^d>XD`Kl=*dnT`i#L~=BUA~VCZWxJF@WI<;@QR z?tyW_&RGmGOZ;fseD7+kTleMb*VmT3u!Pa<;8nZkZ0M#zi>+RrzY`QZybZ+h`i+;) zyZ$lNV0`02Ykz*_IyEPvg)@o@iWU@pP~SR?*JsSodj9n7jirwtZ$)0a7=3@l<4`?) z>H_)efK<7Z>DqQq=$<;Kpd9|PmX_9CTBnCkpH_VO(I!$isDleoAs-Kfg!|U~%0!Q$ zSE0{9HK`|_<&e|#x4VJu{N?LH+re6lc_I)j4e^$(rQ1DMkcW=P3X=xv0Dl>dF zs4^IxQr6I4X-?Sf1_CG{H?(iK4MDAejmZ!{?vv|4UK~re#f{7368C27hhckufoJUCc^`V`*Qk3brh;7xxfE@b4>E&3xZ+T|xW#e;g%t8aE%B z+~FeBFeW;%!<&6L`7j_MGI`qWNAGWFlaI1fI?S$|cugNFr!LWHR6LeD=9HErB<`IUD67kJQLz*3HQ75eZ zO$Xz$^sLk5vyD&fXTmkfXh+<|#xXh-*TYpAPL2EQ{e0ka^kLQ@Qqrs#vuTPP?IBpGiP2@U0lv+XEA?B93-ehdE!)h0J6SO-)?QgV7!J2 zKZREWjwjMy-5!+%tBDbTaBwX>OB#Z5N%$itXd7TS2<~VYZ=bs~?DAl3jg81UU})lb za233k>AZt!-EY>(Y8YkmrFec%Q{Ro;>Cl8FC5%n@*r`nUk|(>DUW{c{6ioWwVO(aY zO`8_`r-MM>L0K}B63?%eERO^sbTAuY3yE7GE@~pZhjPnSmbfR>1*1uDbB@e7;|yvH zYp_Ht04*$@av1j3(6EJ)VzG8m@Ra50Hvaca|dj4OI0D%fin7-3`U@+{t47c%KjNz3r+j|i^(IfJ_rC5v zV9(xmvVN5_&Re!Xt$GT~0q!#`D4j@ge~~$i2LFQT zCLS&rTz#ThBp%&vIFFt52M2@Ddi0G3-P-SM7)0`;UgvXj9>eqf(4*I9SDlm;QnQS8&8zTw;$rKIC1j965|G4m8HkyDG?Aty{Nx$U7`+N|lAeOp7OI zNF7;XxPUUr79&*HFUd3kTxoiGcba`(;oz_sJ77#~`*yzRd1zUxP^bC#)6UGE6Th}0 z13GF8Fi2&S)!RJ7WK>Kp28DSk>>Dg_=HYC@Xq5rjL7MBpK5a@;&(){8an7!TwQXt9 zu3bUli39b}O6uI4I7m^B`z9sjj&=(@xkJ7}LP zZgqMy?XWJ?M9G{4oO%wy%G~@=YM>eW5VQ!!z+!iI25@2(BJodvmE22k*pu0Ec6N4D z$D)v+G2O4T^1PL`9wh^U4G}ETwqu(B7b}5LHF)6tc*zS~!=0~X?eq*v zj9|J#i{apbyf=)QS8mSoJ(UALoPfI0OsPMT3jnT^XV30(Kob2Sf>k6QaBakC9w#v8O#j>S4I@Tvoe7I1cCJe4_KdeM$7t>`(HDC~#%e?0!(^z;lsJG)RIp&VNtYoHw9)X>0FNW2!}Y$G$4*w``D1e6$y_Kj{J0JK4dCmg-7#R^P1*n<4R@L>cHWdda<;u%?SB2NS6$K~{oTB8j`9i#wXD0j?J z-9H2`1{;kYz2$jY+9NIq4^AvLadq(~J-`7!$!wX^N7JMLNkw+duWvRSh0EXF5`h}E z1OSgvN~tH{-1#kz^J&-&N8}S!VZho5suEa~X|PBkrO2QO&@K=?27i!)ou7a+`gB-L zpoqK!N@nSn+c^|TbgN_#gci&IKc=25fUN%kkw_1Ujsw3WeIDZO>Xe$55EdXDOH>7? zNE!z{c&CH|K*3L}uzVkq@ZiJA2<^-_D$O@;i+|-ZOqF^C7}@>vxikA&wA5Inix0vl zLsSGTcGQ`p_D-HS5eqzjo+>IVQrL!b)RioKvGm4ssu}WlSTfY8fbQJ)Ty>f5c`XQ( z^3>>++wt(?m8=M?I8cD`g)6_mm_FRAI%0-Byj65~65m8Zlg^=*oLl#EB1T`eziJ}# zP)>jhkAg!Cw=Ax$#S+26p{QNKvl|aL{0)SLGyM>cZ1##UVtFM^*9tQ!{pN}jw{CTS z%Fpfy&v0&r`_SFZ%}WyWYG&@xB$ohpY?04WlnD1M(xn$`P=+q_Orar_cqM>;%W20K zVazG*39A->r<&W~*s$I8^s<&^2A<9+UmlJ(%8}n+7E|jFV29An zGmzU_SzX&`7CCG%^RUWMpc@w`k!pzDEP3ts+EzZ!f9gB!%!_3$HUdVOni9xk3uP4r zi6Z>iS4*QWW_XPfCG`38pC51Cw{z12O~2GI+y3OIzUn*r+r@dd(~fL>{(Mep*%2$y zP~(>$$YYaH4A0>5rasIAGU?$f-a7Z_|p|A((rJ!kfuxyFcYBO}&Uo2N@wV=nt47|CvKiBIAW4H0>-59c)G@IWG9nA zH47KIBwHI+0w%(x1$wo?+*(k}Tv9qV=B$BW!2wJgnSxzs&F^1+jSt{vC?^5~3Z`N( z&S(-LU^IE%)!6icR<~-2>O|v8o5BS_|H0DkbYpn`E(8BndAH6kp4cZLy!ggC zuHWG{3M~}edyXGAGqs1**o@F%ggGYt?yISJXJIXD0Fe%20>Doebz_GBg`RUcfg z4*!?AiUw4FvJtN!R{##h^+Fh19XcSWCu>?bg0N(G3@k9{sSK@T9LhoW%WPVoy%>mZ z-m-QLS&btrF+b$pEj0w76_A4&!BwuQ_pUlmRmvBxa2 zzbbH;C&rcA0SK5;6Bj2r%80R;2aDW~l#l79GvN2INXh0FSz3mDxZ;mRq<4`>*);1> zs&i_TIba@COGpmlF3n}w)ag4{Eu7HXOU!Q?);y(dqGMwdh@AOPO0tZ5V8=iWdte_P zc>ZbMLybo+duHPo#MGVeo-3Kykbd5s;iZ8h3%+OHzNYkKPrtj&Zthf4C-T&(a}!P} zl)Ol(RH)0$nVgz8(Q7tmXV-759N6Hs`L%_=vND@hqz%cP1@;D=O$OFDK6Jt!nVtDfd??!0=+pxG z*4y@Gx=0en^g!1RisZ)N8E}Qt6GV2hahwB6s%6P_k(LOUJmA^^n5I1IQ(Trm= z>Zm{V=NRH>tHbCBR&85omQXAh_6gq`!m<$V#3d9*I538wcEc!P7>!U`JHtkm*(hUm z6cWm9<>F-}bI^%U6OyPHE^u$N5rI^+2y>;CL$lmn_2NpMPBQ#qM@sQdi>zgz5wR`P zmI|Lkfjr7Fp{ZfZQZ)o$Bby@@ArJPvqVuG_kY-D46p4vkKD1fh%2PM~QMdJxp*_?l zDuzu^|Ez|Fh(KXO^6IwpsHiwu(a&>~(&->i5ta-~KcAzpYEi)f&U|iiVTdBHD?zJ; zlD|s3hx6Blg=Rf>i@gK>;qZs#2psjEIJX{pdX|b0btj#cYZqqXAA38vU#0w6$5iuG zO@r47Ab_ZX$qhr(&7DTLX>p~>(2bZU5u|f1%&ftWD1y|GARRlV2J@KqohPckchcHE z1$4=m6Z{g-qut@~=h8!C z`HxXou-bc<28hcI^mvgSi$H_4@k9%)iKjShUabrstJO{Ub!zI1%*<&r$+0r-wo}O1 zUS0ww=toH3w6R4;p{nu17ExBz@r1r1sb4G)#z=nGsD9`bWO|CO?mzCK+`0Hr4(0UfLQgXE|$bSG--ulsE{60p8G_yEZ{X-{1^_E9(E&pVwfX(=cc$ zCI!SmA2nk~NTf!7{_3yGqGDddI2Kvj1Q@#Fblfjt{`~nMH@*JK=J%@hC_4&h3K?PI?w(iS!Y+uON>E*-nU`VYZ!-yYY2N(y zEtUSt&31$Y{ivfE{r&l?ISIJHmtHv?a$Dxocju?JNNDL zdi7Xp3g7_xUq<^m1qI_deR&JA2D$s#x_k_@2B5c43*mRduP1Jo_s4z4?%@J=q`y!b zF$Gx>W|V*J(uJ!`pR7a-vyKE5%It>U*`(tQqYHoZB5G=N_f8tJw8f!^ry`&4KN59C zT7Y@;f>R4X+qh~i!fm)Y!8rs#suQ~@;>wjBz$nL3?CQ{T^<-c8c>Vf{-oObE3ho@M1@{#Uv$)^5LpsGJ zBv=}y)bsukGM%=Lv@cl-+{JAmnPBH2hLv2ZKn745Va;q%CY<9L7e)y5`^grm57$7!$1sf47lQ7QU(N z+DYgcM;6Xl=HW#jmeq2cI*qACXRMK~2g;&)#qijtkS$aIRR6$yRrPutfN$Eie>d&M zfFRUCHTjk`4gbs_31nDrY`JzXI|}kfFcKKhNiqP|`!-eppza!%Ba2X9=#GKG8&Vtjj){n@T^ye`>yC)m z9kQN6!HN7`k?vRS5SFIWp@T?LA#V^P_&CHN<`FVF_z~3~$LkTC#WnfwmnzPOQ<0HX z*S=a54Sz{<@F&6R%bPLWwWyokbNkZkz~s;Aq3xbwE7BWu4ZLl|iV7M(5lk*xbjtWQ z^$%Nz?wB!F0T#PmsrTszxz%7~ir9tWYG^WArvFpZnLu-$wtd`G%Fre~LXxOxB2jr- zBqGyB`=Sv_Nh%2=ODGglmK3R`MbctRwxnny5~gWUw4hLFu|%Zwe(s)koHOUloErXq z%Y9wf_xdiOAa7(_L&!k+j|h1yiAmMz2Cs{?Jka9``=Tghm8bH=nFlv~jh2u0_UTo- zWn7L))!o@tk?2!#ZCU!fPmX=UWVSS-Wv}0fuF$>r);JzrVVg-ZmXP!{=@E^uB z6aw);Sir2nNqIxZuX7%d*lkvH{*N3-A^1bJb_|t84|T&pP8|{dcyq*aR2D;vbAqH6 zJXX>@_n@tTE+)q^V(uA(uWwFU8+X}`G!dpB9WQ@;x2c&PVtNyT0*mVb61^(KkRe>J zeA^Ojh-x$9P~tsjkP|jW&z(eq7;WK*ol&rhYtsM|=`I3I)oOP2QK<%)6rta6=vQ6b zOc&CZzLX|as?QSuAyL){zoxa#F*@p{i*p43x(22*-wu9i2hvSp2Gc*t9j^XCg- z2j2&gwFZVwc@y1kOpJ(r1x^&O7gRp$R1ppa-AhpZ+39edhGe`1ej$EPKn92n)-!!m zYBN?PpESpeTePQ;rw#}Q8ae=8?qdEKbNRKEQ?k;2GX`{^FHxwjLOZ+}P-&m8Yha)i z|Gs@6p+ev0&bQFXEQ2gB6cV^I!zz?|t7ASoO0~n&aMRDUAOFUf#pm%ekp4uuWw_xG zhB#vE!0ERu#xn~)bBBr2uJ62jvQsbl@nL`z78SSjn?+tWcp8wX1>EVTJoF7XzW8-z z`*kUKYv`>SIp|k9H+WpeV~!s_Tm(2lJ-*^tE}F>ec!HzgH-W9#m=E=DdIAJZdJfe&opFrMvOXnph8nW=Y7QDv!K zbn8u>dT3&;Vy5&`|L=#=(o!MTB9Z}kXzJ9jJx0mwEBX#%K=<7WHkAfbf6egpDlvXA z(u_D51O4!kBX=-ju@z}~%M&GI=}f6R;}-Upq(79N{y&)>BM<1_X8ofJTK>*y2_nQp zb%5u)R!wcQB_F-Aymk4kw98OTWpw|d&`{HIom|2|yTjLCYq(kEsz`)bpv=?zR(rzg z4LrJ)47OkERLed=Xz05~V^@{+i}0?N_@Gt zKiaqE^Fn$*{PRTOqH%zCjET4gNH7kHQJR4TIT%~Y0=Q+uyeU53wCNYjYbQx?g@8>2 z0}&##Sl-gMF<`a;KSiFvRc_y~Y4TJ9tP%=_*o@TE`=>G5LM>8sx2Y9?Oq*^7ch^@G zIut&$^GZON6}Us;zLm9Bbs5W~-9 zh+cetFb{Tz%y1?`fmJR~++C_Se*8cRR!7flbX^FAc%B8G&;QSurlh1KdPHHLHFm5F z!2u=RhAMvU3k-yd6UFY$$BzkVY4;nu_)VRm$_p(7h!!9WZ4r<;9ijirZRk?itdL{P zQEr4uBwRd3tHQCGDI7KwBw_o#me*U{He;LVA6K%Np%4o(F-H*q%^CE~%*Iq^p$q^u ziCLW})Ly*<$Qdex3w9e9t8q_~%GS^S8n>bJd3>$K-7Cf1o6!@jj)(yIX3;MO9Mr z+7(wIH={HFlvJ7LTPKHf7s7z?`S2hAt~uKevwNh`VJCy9W46zu@Bhcy)VdU~x-)0b z2EjWQsEe-Cv&p&lFZ|wN34W-X z*@()4C*JvK&C>-8wA@Wxy)Yi)9<#Gy>mdBy$W{PoaDjgb2*#x{;LwLS2p<_w-ihmJ_)#$8)ofcd0nv z*pi13Zmp!3UaQAJ5~`npf2LbZPjlhH@w#SxuH1Fb`%Qv`(T9~5H=9FAw8`*hxzuP! z@n#emC6104Ja+lWAxfvI7x)wbRulo6Gd)^vTh|7pg3r}jR5`ruwuG5Bcx30a#vJFx4RsTG`9AUDRx z*}195j2iZYdqYFTi97|bHJau5M<&jBBfRkux`5uHznTk@1_DT%%M;I5b)dX2lM?mO z&|#~Qgv7w>b4-nw@^;pjpAgT3YCJoqOYr*vsNn_X#m5yyxnD#*$$0MOmf`Hm)J|77 zWTl%&oa+x9nnc7G?-v6RpY+(|ZT>Xyf{~29_dvVRQ>IuJ>5DLV^b?dQ9xk8?@pe$% zHGB1IA8%kVpV1Q-9AuoD1p$mwz)2C9i7b12aprGP#enbX)20n2W{ftOUWlt#liuK4 z(OPlpj=>|lkeam1IjhFGKll^q4U3@5b?$N(14 zfbG*e<6PTzjB8iEVtuS?M)ifS8ZG5P;$`%x{R5+c5k@;`_Mo|$o>}Z!kfNZh%!Lsx zJu50CpJLwx9ZZ*kv*=JjRt@tngeRLF_o@}%!LQFk)gh2!>wQqH~)s*?{ z4Ep``98VRk8rRNahABdh1*Rs(Lq33IdlOJ_nWGr zns4DiBr`Ce=y5^7A}||- z1?=SrilR+qc}6Dy)`0hq{OeH~_K4z)JX!FnqFI>eL$Gd6%|K*lhzM6_=Hv zT8RObmoeU`v#e~L-s+5JkFvadea5d{lmSc!E0yN}mY;wJ!@~s-$u)yDW2#s?niCEM z@$Uq|;^i_>i)r(MsC3*D!!iFLs%Ubob7wc3xFiD*+{@D5<3;Ns=7NhpU0!$Z`j%2Y zo4y1sOSE;EZEk6)YhvOk+)Hn|x*FO@9(TLUK~J|r2OaLAeO+Lg^z6b68{m?~J zM`E%JIVo6V^Fq=pkC-r_)qj#;S&o?01dhV?RE%V>bHw##W658}nrnolNK-Y3Ts(e= zLBb|%)mX5IMAiGAwTm*?+uIDZrWEi3p+9757c8ZU4Wy>@no$TPTPR)lOw?F02D5u> zrG3YobDd6NPr}i0W_?OL1-xW|BSYh$-a`9kC&Ef_7d40GQV1{88Hbp}?>~8>dE4+p zYU&+_iQ>fqT;~kk`Z8}`$}(^*tO;RS)F40>z7-68=!_-L7@L{(hLb&Hm|eN~Qj7^$ zO$ua{hL+_i2X}t`&Lou@J&*>98LtR_pV(4WEql21bl$S2(krd_l?fT>q^8wvUUl;aCfD7p8P5tnklGF> zqZS0DetluU9Y_y&Xn4Q{-O6~u>69N zFKoWVose3V#>Gg)zHEwQ$^S`you+ZNF3o3SfBCeDW z_yJ%Dl+xt)7+Ef(JC9aw-Qzn^Yy>!x=%Lvh=}b5!Hm>+69;q?`sbYQ-VrvdtmaW0O z?y8*c2v_F~RXuKzW92hWV#;`I5o?+~`280Wnx)_*vyiQt(3+4pszMYiuj`EN4t1Vc z{moBKZag__)9{_q4L4%5s@lB1-wUY8Yp)E|)H>Gjqe!ah-UOXmxFvso{sIDZD{6uM zspNjnIXkC&OJDTf{tH#Nva&lyU)pf!eTTu!$H4V>@X@Pt*vnlKbW)+39~43*lK}%3 z!e@Re7i}%^+8ykXGVs*l7HT?@Lo%{iRE=5r7PCd~iSOV@6^aXJTLU zOw@%qenRAI(=sRFl>>&pjctMEUMymj08u6;=dso1*4(PvnlBvE)FWq~Yp)O8Jh0hA z8Hp{cavlF0sz@|ph+!VMXY5+lkb@V%v1ainU|nKaC3dM~XHLUNKq|ZHuW0RFhl`dX zz%lh|=U5Uo_Z1D4tUzR znr^fiNt}gON_|7aD<;`Pik^bS5;$`Nz|a~LBak7Y@?nF`&2HF+ z92>tML5f=g1&6{ec5gIF>F&|zRtGudb=m_J6$M55UGZg_=pWQ`ckO5snX+{aL8?<% znD$kDF|;Ay!yqib`>PCLaEdrrKw`rXFa-Q*sMr|1cL*t1D*{+hto!o@(_cRGYE1S( zj#ks=wcd7naveT!!SL>=RYg|}ns3WV>|_@;1R#UKL9i<>vMm?Ri@S^@P!#N`Xe^*Z zt<##8T&J1K`UpNp_fF1F0J<^RL;Lo|gVoqQL+p`)@4V`O5(qI&%}$eZTN1^e&d!op zIZ53TtN11I!`eZKg^kV*!{OY)^PLJuevSMymDnPxt4o5J+1~s(XK7q2H-EHE*qhG1gwc ze)ynM`|0pW2ge>4E@W)a5MFWp`u*uV^_PHOp5%Scf0FfK22BDl=!7Hf|B)Wk(Ndz{ z@qN>_SNrACXPeHoPkS-J)yGy#J|IqW;b2Ju?ET|3FP&99d|5HLEr(&oz9`u2v|i@< z`wsY#u^c}zV31m6I~IPGpO?uFMd4(;XmGC{yT;~i)r&pYIQUibWo2`Fla{%AxvE7PO;#>^^5n3=l%BgJ@SmytIz8Zq>a;Sw@IQ1jiMhVpw{I-cKI&+t&7$<2TtlvOT@y z<5PQyb=E-~xfltJ*VlJn_D&;VW8tf9?pazA8WwK0>(=$cqa&&+Vd{*XA)DGjSnJwi zzZ5=OR5d(DHTuDCd)2B8)e2IiBDB|rpNT%2qnxC{25k;vR?XutXH!EdSuWuF5{UYJJx%<(IYDa$Ej+__XzD zrAb_php%jfW%K(uP3ffNiAkZ4U2?uz$u<-Nehrzh^5OAKsT%hkmS(l>3fbiE5mt6E z)Ntp$2IEZqBDbm!680*6vrqRVJgOys$pMdbne@BwtG?uX%dh%y{P92P$+z8Z6$jgz z*Zy9bRZooJM#s;MZC^9KEF&;@Y5K7@Zda20=0zR-Xjp!1f8wJ>qqB0OD(xJ?(w)yv1S?xE*2Wk<5{%D2~WTUo!3k=c8r)*eN;*>N#0@Q;rGu5 z-rgLv*)-|U`P#(8rS)?*{Jp-bYH6Itw9FTdRf`)FvUC=gduM(rNs6k}muMN`mM}WE z|Cz@PCz4J)_L*Oia=vUpb&F=|b?;Z-H0*oYmsCeLx~Q2HFa7N0^Vqw>?d~G?2c7*g zv+NeHw^rX7VmE?AK*c0Swf9TCY)hWz^oDaj1GK9W9P`gTGM{(U{!&BCy{x@IFQ%@l zNkyNi;`lM1x7OKr8Jv6EJJu-|0I;yZ|%c3tX1_MIVNxlon}JTKlNahpoqv8(HMKb9 zHNVS<@66Ytuz2lZN(u@%#|?8`7Gp^@3O2KYTyd+ZsmW?ZP)d)FuKdugwzdOLMYxn^ z>BuX64Da0CW#?PdeX?_B`Q*ydhL>|IF1OXJD>>WM{64*ue)3r3*MD_LtJ7cai>`9| zBc!b{cFw8ze%0cv{nE9zb>zT Yg!-TUwYP!EC<(si%(5^|nQ80)e`?(@M*si- literal 0 HcmV?d00001 diff --git a/readme.md b/readme.md new file mode 100644 index 0000000..b44b3ed --- /dev/null +++ b/readme.md @@ -0,0 +1,68 @@ +# Hardware Verification Coursework 2022-23 + +## Verification Plan + +The verification plan can be found [here](verificationPlan.md). + +## File map + +- [Makefile](Makefile): commands to run simulation and coverage for VGA and GPIO unit-level testing and top-level testing +- [Basic GPIO Coverage](coverage/GPIOCoverageReport.txt): Generated in Questa GUI +- [Full GPIO Coverage](coverage/GPIOCoverageReportFull.txt): Generated using `vcover` command +- [Basic VGA Coverage](coverage/VGACoverageReport.txt): Generated in Questa GUI +- [Full VGA Coverage](coverage/VGACoverageReportFull.txt): Generated using `vcover` command +- [Docs Folder](docs): Folder of PDF resources provided +- [GPIO Module](rtl/AHB_GPIO/AHBGPIO.sv) +- [GPIO Checker](rtl/AHB_GPIO/ahb_gpio_checker.sv): Non-synthesizable version to check GPIO outputs against +- [GPIO Assertions](rtl/AHB_GPIO/AHBGPIO_SVA.sv): SystemVerilog Assertions for module verification +- [GPIO TCL Script](gpio.tcl): JasperGold script used to run formal verification on the GPIO module +- [GPIO JasperGold Results](GPIO_formal_results.png): Image of JasperGold results table +- [VGA Module](rtl/AHB_VGA/AHBVGASYS.sv) +- [VGA Checker](rtl/AHB_VGA/ahb_vgasys_checker.sv): Non-synthesizable version to check VGA outputs against +- [VGA Assertions](rtl/AHB_VGA/AHBVGASYS_SVA.sv): SystemVerilog Assertions for module verification +- [VGA Comparator](rtl/AHB_VGA/VGACOMPARATOR.sv): Used to verify Dual lock-step operation of VGA modules +- [VGA TCL Script](vga.tcl): JasperGold script used to run formal verification on the VGA module +- [VGA JasperGold Results](VGA_formal_results.png): Image of JasperGold results table +- [Questa Automation Script](setup.do): Runs simulation, logs all waves and opens waveform viewer +- [GPIO Unit-level Testbench](tbench/ahb_gpio_tb.sv) +- [VGA Font Map File](tbench/ahb_vga_font_map.sv) +- [VGA Unit-level Testbench](tbench/ahb_vga_tb.sv) +- [Top-level Integration Testbench](tbench/ahblite_sys_tb.sv) + +## VGA Bugs and Workarounds + +- It is found that upon reaching the console text region part of the frame, the VGA pixel output will be invalid for the first two pixels and will instead start at pixel_x=2 to pixel_x=240 horizontally, thus there are only 238 pixels of valid console text region +- Some of the timing parameters and porch sizes in the documentation are incorrect, the correct ones were taken from the VGA block's local parameters +- Printing to the second character row of the VGA's console text region creates very unexpected behaviour: + - The second line will start 2 characters to the left of the first line + - Sometimes, errernous/extra characters may be printed +- The HRESETn signal does not properly reset the data in the console text register, when performing a reset in between test-cases, this may cause the new text on screen to have some characters from the old text (whereas some characters may be reset properly) +- For the VGA Tb, only the first line was tested (Printing a total of 30 random characters for the line) +- The VSYNC and HSYNC pulses after the HRESETn signal is asserted may sometimes only go low for a single cycle (this causes a few assertions to fail thus some special cases were included to these) + +## Running Instructions + +- Run `make (vga|gpio|sys)` to run each of the testbenches and start Questa, along with selecting all pins and opening the waveform +- Run `jg (gpio.tcl|vga.tcl)` to run formal verification for the GPIO/VGA modules respectively + +## Formal Verification Results + +![GPIO JasperGold Results](GPIO_formal_results.png) + +It can be seen that all required assertions have been proven with an unbounded result. + +![VGA JasperGold Results](VGA_formal_results.png) + +The pixel coordinate values are proven unbounded, as well as the HSYNC pulse size, however the remaining assertions are shown to never fail within the given bound (599188 cycles). + +## Functional and Code Coverage + +The GPIO coverage file can be found [here](coverage/GPIOCoverageReportFull.txt), with the functional coverage results found near the bottom of the file. + +The VGA coverage file can be found [here](coverage/VGACoverageReportFull.txt), with the functional coverage results found near the bottom of the file. + +## VGA Testing + +Using the debug output of `$display` commands to render a 1-bit image of the VGA block output, along with a unicode version (located near the bottom of the log) of the randomly selected symbols from the font RAM. + +[VGA Simulation Log](sim.log): This contains the VGA Pixel output from the simulation. diff --git a/readme.txt b/readme.txt deleted file mode 100644 index fbd7c48..0000000 --- a/readme.txt +++ /dev/null @@ -1 +0,0 @@ -For simulation purposes, place the code.hex file in the same root directory where you launch the Questasim simulation \ No newline at end of file diff --git a/rtl/AHB_VGA/AHBVGASYS.sv b/rtl/AHB_VGA/AHBVGASYS.sv index 3d24d3c..d52a3ae 100644 --- a/rtl/AHB_VGA/AHBVGASYS.sv +++ b/rtl/AHB_VGA/AHBVGASYS.sv @@ -95,6 +95,7 @@ module AHBVGA( //VGA interface: control the synchronization and color signals for a particular resolution VGAInterface uVGAInterface ( .CLK(HCLK), + .resetn(HRESETn), .COLOUR_IN(cin), .cout(RGB), .hs(HSYNC), diff --git a/sim.log b/sim.log new file mode 100644 index 0000000..e658246 --- /dev/null +++ b/sim.log @@ -0,0 +1,566 @@ +# // Questa Sim-64 +# // Version 10.7c linux_x86_64 Aug 17 2018 +# // +# // Copyright 1991-2018 Mentor Graphics Corporation +# // All Rights Reserved. +# // +# // QuestaSim and its associated documentation contain trade +# // secrets and commercial or financial information that are the property of +# // Mentor Graphics Corporation and are privileged, confidential, +# // and exempt from disclosure under the Freedom of Information Act, +# // 5 U.S.C. Section 552. Furthermore, this information +# // is prohibited from disclosure under the Trade Secrets Act, +# // 18 U.S.C. Section 1905. +# // +# vsim -coverage work_opt -do "setup.do" -l sim.log +# Start time: 22:13:16 on Dec 16,2022 +# Loading sv_std.std +# Loading work.ahb_vga_font_map(fast) +# Loading work.ahb_vga_tb(fast) +# Loading work.ahb_vga_if(fast) +# Loading work.AHBVGA(fast) +# Loading work.VGAInterface(fast) +# Loading work.GenericCounter(fast) +# Loading work.GenericCounter(fast__1) +# Loading work.GenericCounter(fast__2) +# Loading work.vga_console(fast) +# Loading work.font_rom(fast) +# Loading work.dual_port_ram_sync(fast) +# Loading work.vga_image(fast) +# Loading work.dual_port_ram_sync(fast__1) +# Loading work.ahb_vgasys_checker(fast) +# ** Warning: (vsim-3015) [PCDPC] - Port size (16) does not match connection size (14) for port 'address'. The port definition is at: rtl/AHB_VGA/vga_image.sv(45). +# Time: 0 ps Iteration: 0 Instance: /ahb_vga_tb/vga/uvga_image File: rtl/AHB_VGA/AHBVGASYS.sv Line: 120 +# ** Warning: (vsim-3015) [PCDPC] - Port size (15) does not match connection size (16) for port 'addr_b'. The port definition is at: rtl/AHB_VGA/dual_port_ram_sync.sv(46). +# Time: 0 ps Iteration: 0 Instance: /ahb_vga_tb/vga/uvga_image/uimage_ram File: rtl/AHB_VGA/vga_image.sv Line: 67 +# do setup.do +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .......................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................####....................................................##................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .......................................................................................................................######........##############......####..........########....................######..........##############....................##################........####................####........####..##########..........####........................############......########......##########..........................####............########..####......####......................########........####..............######..............................####....##########................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... +# .........................................................................................................................####........####......####......####..........####..........................####..........####............................####..####..########........####................######....##########......####........####......................##............##..####....####..####......####........................####..............######..####......####..........................####........####................####..........####..............######..####......####................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# ...............................................................................................................##........####........##############......####..........####..........................####..........####............................####..####..########........####................####################......####........####............##........##..##....##..######........##..####......####........................................####..##..####......####........####..............####......####..................####........########..........########..####......####................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .............................................................................................................####........####........####......####......####..........####..........................########......####............####........########..####..########........####..####....####..################........####..........####..........######......##............######............####....######..####..######........######..........####....##..####......####........####..............####........................########......############......##########....####......................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... +# ...........................................................................................................####..........####........####......####......####..........####..........................####..####....############....####........####..########..########........####....########....####..####..####......####..........................######......##............######............####..########....######..####........####........########......##############..........................####......................####..####....##############################......######..................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... +# .........................................................................................................####............####........####......####......####..........####..........................####....####............####..####........####......####..########........########################........####......####............####........##########....##..########..######............########..####....####....####........####......####....####....####......####..........................####....................####....####....################....##########..........####................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... +# .......................................................................................................####..............####........####......####......####..........####..........................####....####............####..####..####..####......####..########........####....########....####........####......####............####........##########....##....####....######............######....####....####................####......####....####....####......####..........................####....................####....####......############........########............####................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .....................................................................................................####................####........####....######..############......####..........................####....####............####..####..####..####......####..####..####....####....####....####..####........####......................####......##############..##............######........##..####......####....####................####......####....####....####......####........####..............####....................####....####..........####..............######..####......####................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# ................................................................................................#######..................####......######....######....########........####..........................####....####..####......####..################......####..####....########....................####........####......####............####......##############..##............##..####....####..####......####....####................####......####....####....####......####........####..............####....................####....####..........####................####..####......####................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# ................................................................................................#####..................########....######....####........####..........########......................##########......##########......####....####........####..####......####......................####........####......####............####........................############......########......##########....########............########......########......####......####......................########......................######..####......########................##....##########................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... +# ...................................................................................................................................####........................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................... +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# .................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................................. +# /l♬↓[ b5w¶V*M?|▲☺C0ri♂H:]'d♠⏴S +# ** Note: $stop : tbench/ahb_vga_tb.sv(179) +# Time: 33578140 ns Iteration: 2 Instance: /ahb_vga_tb +# Break in Module ahb_vga_tb at tbench/ahb_vga_tb.sv line 179 +# Break key hit diff --git a/tbench/ahb_vga_tb.sv b/tbench/ahb_vga_tb.sv index 25596fc..73cef69 100644 --- a/tbench/ahb_vga_tb.sv +++ b/tbench/ahb_vga_tb.sv @@ -79,9 +79,9 @@ module ahb_vga_tb; integer reset_time; task deassert_reset(); begin + #20; vgaif.HRESETn = 0; - @(posedge vgaif.HCLK); - @(posedge vgaif.HCLK); + #100; vgaif.HRESETn = 1; end endtask @@ -98,7 +98,7 @@ module ahb_vga_tb; $display(line); line = ""; end else if (vgaif.HSYNC) - if (checker_rgb == 8'd28) + if (vgaif.RGB == 8'd28) line = {line, "#"}; else line = {line, "."}; diff --git a/verificationPlan.md b/verificationPlan.md new file mode 100644 index 0000000..c755178 --- /dev/null +++ b/verificationPlan.md @@ -0,0 +1,52 @@ +# Verification Plan + +This is a list of things that need testing and how they will be tested. + +## GPIO + +- Add parity bit generation to GPIO output + - Fault injection signal included in parity bit output + - Parity mode (even/odd) selectable using module input `PARITYSEL` +- Add parity bit checking to GPIO input + - Fault injection signal included in `PARITYERR` generation +- Assertions used in formal verification using JasperGold + - `assert_gpio_write`: AHB writes to the module result in correct GPIO bus output (including parity), when direction register is set correctly + - `assert_gpio_read`: AHB reads return the correct data (matching the GPIO bus), when direction register is set correctly + - `assert_gpio_dir`: AHB writes to the direction register correctly update the direction register + - `assume_initial_valid`: Prevents JasperGold from initialising the direction register in an impossible state + - `assume_gpio_in_valid_parity`: Assumes the GPIO input is not corrupt, for simplicity of testing +- GPIO Checker + - Non-synthesizable version of GPIO which emulates the behaviour of the module, as a reference to check GPIO outputs + - Contains assertions to verify correct changes in GPIO bus during a write and correct data on AHB bus during a read +- Constrained random unit-level testbench + - Using a class `gpio_stimulus` with constraints on each of the input signals to the GPIO block + - Cover groups to check that the desired values / edge-cases are simulated + +## VGA + +- Dual lock-step + - Instantiate a second copy of the VGA module as `uAHBVGA2` + - Comparator block `VGACOMPARATOR` + - Single output that goes high if any signals do not match between the two VGA blocks + - VGA image buffer is initialised on startup of testbench to prevent issues when comparing X outputs +- Debug image output + - Renders a 1 bit image of the VGA frame as output on the RGB pin, in the simulation log file using `$display` +- Assertions used in formal verification using JasperGold + - `assert_display_range_x`: Ensures X pixel counter is always within the frame boundary (display area) + - `assert_display_range_y`: Ensures Y pixel counter is always within the frame boundary (display area) + - `assert_vsync_pulse_timer`: Ensures correct width of VSYNC pulse + - `assert_hsync_pulse_timer`: Ensures correct width of HSYNC pulse + - `assert_line_timer`: Ensures the correct timing between consecutive HSYNC pulses / correct frame width timing + - `assert_frame_timer`: Ensures the correct timing between consecutive VSYNC pulses / correct frame height timing +- VGA Checker + - Non-synthesizable version of VGA which emulates the behaviour of the module, as a reference to check VGA outputs + - Contains similar assertions as the planned formal verification, to be run during simulation +- Constrained random unit-level testbench + - Using a class `vga_stimulus` with constraints on the input data to the VGA block + - Cover groups to check that the desired values / edge-cases are simulated + +## Top-level + +- Assembly code to test GPIO +- Assembly code to test VGA +- C code to be compiled to assembly in Keil and tested on the instanced ARM core