// megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% // GENERATION: XML // fp_sum.v // Generated using ACDS version 20.1 720 `timescale 1 ps / 1 ps module fp_sum ( input wire clk, // clk.clk input wire areset, // areset.reset input wire [0:0] en, // en.en input wire [31:0] a, // a.a input wire [31:0] b, // b.b output wire [31:0] q // q.q ); fp_sum_0002 fp_sum_inst ( .clk (clk), // clk.clk .areset (areset), // areset.reset .en (en), // en.en .a (a), // a.a .b (b), // b.b .q (q) // q.q ); endmodule // Retrieval info: // // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // IPFS_FILES : fp_sum.vo // RELATED_FILES: fp_sum.v, dspba_library_package.vhd, dspba_library.vhd, fp_sum_0002.vhd