// megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% // GENERATION: XML // fix_to_fp.v // Generated using ACDS version 20.1 720 `timescale 1 ps / 1 ps module fix_to_fp ( input wire clk, // clk.clk input wire areset, // areset.reset input wire [0:0] en, // en.en input wire [31:0] a, // a.a output wire [31:0] q // q.q ); fix_to_fp_0002 fix_to_fp_inst ( .clk (clk), // clk.clk .areset (areset), // areset.reset .en (en), // en.en .a (a), // a.a .q (q) // q.q ); endmodule // Retrieval info: // // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // Retrieval info: // IPFS_FILES : fix_to_fp.vo // RELATED_FILES: fix_to_fp.v, dspba_library_package.vhd, dspba_library.vhd, fix_to_fp_0002.vhd