-- megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% -- GENERATION: XML -- fp_sub.vhd -- Generated using ACDS version 20.1 720 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity fp_sub is port ( clk : in std_logic := '0'; -- clk.clk areset : in std_logic := '0'; -- areset.reset en : in std_logic_vector(0 downto 0) := (others => '0'); -- en.en a : in std_logic_vector(31 downto 0) := (others => '0'); -- a.a b : in std_logic_vector(31 downto 0) := (others => '0'); -- b.b q : out std_logic_vector(31 downto 0) -- q.q ); end entity fp_sub; architecture rtl of fp_sub is component fp_sub_0002 is port ( clk : in std_logic := 'X'; -- clk areset : in std_logic := 'X'; -- reset en : in std_logic_vector(0 downto 0) := (others => 'X'); -- en a : in std_logic_vector(31 downto 0) := (others => 'X'); -- a b : in std_logic_vector(31 downto 0) := (others => 'X'); -- b q : out std_logic_vector(31 downto 0) -- q ); end component fp_sub_0002; begin fp_sub_inst : component fp_sub_0002 port map ( clk => clk, -- clk.clk areset => areset, -- areset.reset en => en, -- en.en a => a, -- a.a b => b, -- b.b q => q -- q.q ); end architecture rtl; -- of fp_sub -- Retrieval info: -- -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- Retrieval info: -- IPFS_FILES : fp_sub.vho -- RELATED_FILES: fp_sub.vhd, dspba_library_package.vhd, dspba_library.vhd, fp_sub_0002.vhd