From 01772ccbb52cfba00327515d8a18614169947a64 Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Fri, 16 Sep 2022 12:11:39 +0100 Subject: [PATCH] Add remaining project files and final report --- .gitignore | 11 +- Report_3_Group_7.pdf | Bin 0 -> 617767 bytes system_template_de1_soc/dsditer_dual_hw.tcl | 75 + system_template_de1_soc/dsditer_hw.tcl | 74 + system_template_de1_soc/dsditer_t_dual_hw.tcl | 75 + system_template_de1_soc/dsditer_t_hw.tcl | 74 + system_template_de1_soc/dualfunction.bdf | 783 ++ system_template_de1_soc/dualfunction_t.bdf | 783 ++ .../first_nios2_system.sopcinfo | 9238 +++++++++++++++++ system_template_de1_soc/fix_to_fp.qip | 86 + system_template_de1_soc/fp_add.qip | 86 + system_template_de1_soc/fp_add.vhd | 114 + system_template_de1_soc/fp_add_hw.tcl | 73 + system_template_de1_soc/fp_mul.qip | 86 + system_template_de1_soc/fp_mul.vhd | 114 + system_template_de1_soc/fp_mul_hw.tcl | 73 + system_template_de1_soc/fp_sub.qip | 86 + system_template_de1_soc/fp_sub.vhd | 114 + system_template_de1_soc/fp_sub_hw.tcl | 73 + system_template_de1_soc/fp_sum.qip | 86 + system_template_de1_soc/fp_sum_hw.tcl | 73 + system_template_de1_soc/fp_to_fix.qip | 86 + system_template_de1_soc/fullfunction.bdf | 2012 ++++ system_template_de1_soc/fullfunction_t.bdf | 2032 ++++ system_template_de1_soc/hello_world.bdf | 514 + system_template_de1_soc/hello_world.qpf | 30 + system_template_de1_soc/hello_world.qsf | 142 + system_template_de1_soc/hw_dev_tutorial.sdc | 17 + system_template_de1_soc/pll.qip | 338 + .../software/hello_world/.cproject | 83 + .../software/hello_world/.project | 40 + 31 files changed, 17470 insertions(+), 1 deletion(-) create mode 100644 Report_3_Group_7.pdf create mode 100644 system_template_de1_soc/dsditer_dual_hw.tcl create mode 100644 system_template_de1_soc/dsditer_hw.tcl create mode 100644 system_template_de1_soc/dsditer_t_dual_hw.tcl create mode 100644 system_template_de1_soc/dsditer_t_hw.tcl create mode 100644 system_template_de1_soc/dualfunction.bdf create mode 100644 system_template_de1_soc/dualfunction_t.bdf create mode 100644 system_template_de1_soc/first_nios2_system.sopcinfo create mode 100644 system_template_de1_soc/fix_to_fp.qip create mode 100644 system_template_de1_soc/fp_add.qip create mode 100644 system_template_de1_soc/fp_add.vhd create mode 100644 system_template_de1_soc/fp_add_hw.tcl create mode 100644 system_template_de1_soc/fp_mul.qip create mode 100644 system_template_de1_soc/fp_mul.vhd create mode 100644 system_template_de1_soc/fp_mul_hw.tcl create mode 100644 system_template_de1_soc/fp_sub.qip create mode 100644 system_template_de1_soc/fp_sub.vhd create mode 100644 system_template_de1_soc/fp_sub_hw.tcl create mode 100644 system_template_de1_soc/fp_sum.qip create mode 100644 system_template_de1_soc/fp_sum_hw.tcl create mode 100644 system_template_de1_soc/fp_to_fix.qip create mode 100644 system_template_de1_soc/fullfunction.bdf create mode 100644 system_template_de1_soc/fullfunction_t.bdf create mode 100644 system_template_de1_soc/hello_world.bdf create mode 100644 system_template_de1_soc/hello_world.qpf create mode 100644 system_template_de1_soc/hello_world.qsf create mode 100644 system_template_de1_soc/hw_dev_tutorial.sdc create mode 100644 system_template_de1_soc/pll.qip create mode 100644 system_template_de1_soc/software/hello_world/.cproject create mode 100644 system_template_de1_soc/software/hello_world/.project diff --git a/.gitignore b/.gitignore index c5294c7..515f7ac 100644 --- a/.gitignore +++ b/.gitignore @@ -1,12 +1,21 @@ ** !system_template_de1_soc/ +!*.bdf +!*.sdc !*.v +!*.vhd +!*.sopcinfo +!*.tcl +!*.qip +!*.qpf +!*.qsf !*.qsys !system_template_de1_soc/software/ -!system_template_de1_soc/software/* +!system_template_de1_soc/software/** system_template_de1_soc/software/*_bsp !system_template_de1_soc/software/*/*.c !DSD_coursework_DE1-SoC.pdf +!Report_3_Group_7.pdf !README.md !Python/ !*.py \ No newline at end of file diff --git a/Report_3_Group_7.pdf b/Report_3_Group_7.pdf new file mode 100644 index 0000000000000000000000000000000000000000..eea78ec89ffd76174118947e2ab87f29edfca89c GIT binary patch literal 617767 zcmdRWWmKF?vo7xL5M*!(Fu1!z0>Oei4DRkO!Gc?G4-h1{y99^e0fLhN2_9VTKz6pA zz4v#{UHAOCEY_NL`t7c+uBW=HdAb{FMF}Y;R%UKgBOY?Z9Fl9ziAma5a#S5KtcEY-j?O3E*budaS8t0dfO5DmsEpL5?6>V{j+j z?A#A;goIF$K(;22+C2387quSM0gBtX*g6BafzK>VoU{S#53K-fypJF3KfiH23`iNk z@z@uDLfT6gz;G<7XKMfc57Xr8ohsJU`300Bnz%0j0PBY>#chMv-)PmR4~#bOwP%N~^H4 z0KmTwQo+xx0PrvP8C*-_g^?x5_@P@_8!#GdU;)1w3aIF4XRHEp)&_#{1ge7Eoqtvl z`}raM^F#Jw#9#nSEDXi$+_k|{Spb~8oXqTO03HrjW?nX30NA3o&fpO{0e%ia+R@I% z9^B!hD?EMyssL3T4Q-w5A1q_+0TfpON`PD~j6urMVqod2hDJ`{DnFg#r}dlwEPpoi zgWz9=ma?#R1~~$ytieN<02$kvfPl|Iwr0-eV8D1DTRAy9f(&g?k=!%-1g-2A`Knit zPldncxA7QWV$m~47^D{oF2bILO!fI{e1j*WRdC+x)g9nnxLdqd*&k5^Y8PbmCfWw{ zhf7$5_INmjj(xsm^18oU&KCA<8o9XiaCNw3y&hlW&TzO<$5As87}gz296@4AO;|X) z`kwB0$K2v~y}iD-$bBFb`CM!J`I*a)Gt}4HX&)SWqQP{fo9z3hr8eU z@99g0{d}*t^$&RQ`F<*w9 zKSLiRVI5(AQFiO^;UTgU!dh|_V$omhDz^7sT61FQxN7n<)4xAG8>Q7q>ge!EFv2Y~ z&~Z(P!>iO|`>}H(^x@l7wy>#YSbpywk6fs|(whYYh8k<3s4#CP(tQk6O#~WA(=|vN zPxl*vxti~$zD1@nC+vdPuZ7w8g+aPdJaoBfuh#9O@EAy#ILwF+V?%MP!v@0oW^P7C zhQ1wNLDE;#u~Wh8)v<2{Lu=jUW@O{mP-`%>XQ(!rGDoblD(^TKD8Hsp(j+H5 z04{`aVn~iv1W=s!viXTsTOWRcj)%3w04{%A?z>^kz8!~tjk%9*Unzk^Lz}6_h|ij+ z>1iK&gb>2!&Yg4Ya#^{sfxsAF)7`)A5hZzS!niJ9^{ycMpOmKlN$eVRgc4NYNK6_M=OmrWG4*^_XGZ@a~4D7ifB^f#F{i8X88pI2*Q- z2Zb7Znxs*oceQY9mYFP$!p?^3m81)D>szwXq&Fb$xl1a^oc8<{bXP|A;!dGFXY4NA zo5-O>dS-59^SRUc^}h!sIB}k|Kw#Jria8yT0;$bh-e5xR8VcK6>m9nrj@4b?%RS(M+TaH7s~;|j z-{W7X3_uw(YK;p2`OlWWEC zNkA7Ly6dfnOdKn+y?Ec<<%CgvrjvNSw0$YNvxU*CV|2v=cckq19BoqM5Xja;ogf&$ zPW(d#!|7FY1cU?#9q@7aihN@35IfDE9a;t?9J7Gvw2()Y%(iP|3c2ubOXz~ zB%!iIIpZS}`K#2FZDoRy=lLv8am+97$!}|6hfk`AJZP|Hv^)y)I1(u7a7Wf!8c~~S zZ>YLq63(H{-MTSiK3S&Bt9`*(4**^$bM!vt{=DJ7`I?@){U9BmhXu+;ct$#HLGNrA zk-gqEdD-ShkDS^fouhOd(a_!8G?j27^SqpEkMnYdfZWyTxfi{H`{DWE29dq?griVo z|81S`R&wJGCDceLKOt`~M61~f;42U4b3HoR$T>vgX6wuEQxcs%jorBCX}6X~^yaS% z(3FcECqxqx;=~9>bx???_2<&JxC^?)pE6JpFF3L{v@zP(Yt8YV_fYgIl{%;5+o_ZjO-%Ihr94Guj@fE2E|Y z(GMF?dS{fELJ%_5?)ymRyw^#E{LmRpjO^Hst^`Zw86@!Al6aQ${SOlC@({O1S0&0AaMHS1YR7E1>l$>o&fa(r$aN38f}-h9B1()`>BjxO znh0@8+3LSn6{5#A>O0Ni&pRU;b)PYBqqel?GTjEC-r_^28YAHnmtxm`fA`gS{gVIJ?&I7AwFzES- zdegN%OKvd4tnbc=@ilssZ03bQ!!}LOVgB7!b>}qrxJt_KhC|Brd{H?o*u^f#Q0uTy zd9i4U1-?5l1h5_YP8H#KjosvO7qc8E~+Bu^1@JpVoj6$xT!l(&a<*qx0vZ&*h}9r(1c|$O1w0pnM&~z7qpnMZ`UK`S4^??(sf9?luXY1kGH2r~|(E z`%!^+0HZxz-J%s6JXo5c?146)5_w&W3a2MSfgB4nMQrNkQ^3)}y)jzZIdk+m=fY}2 zJtsB7%)Q;$=LHUy<&aK0>aK``DvfrE3 zce0o+^P3ZU&HX-+FFB94#ttm3--v!iT!h4(osA}IcW?Q^{4q#Kbc;mGG1GPH)1JSe z?%2ng$ULH11jv}(K6Tf(y+0^Ib1Dx@^%lf14-zNESSD#-5tpgZK-twQq#?9cMuyoD z9#&o6E=57;i1?>S2lzEM4~anBHO}h^GASa|&nGOm zvGf(0Ti5eq{gW!c?ho}-pv(E*j^)qr1dbOn#ZN7mOBXv_u>Dx%h~46>zM0n?N?&_s zlP)c8=Hg>n+8{g4o-?S*zmSE|6M zkMwuC{n&1w;3Uy}(JR`H`Sd_&;#kM*`fY2I4SVLKPe#Da_PNK4ijr_F-gYZEE|>PZ zL)g)JUy>Bs%Cb3PQViC3(z@M}$RCNWscn8oN)+Hn96aMQ=rkY6OW3p>>P{qSUZQRU2q;y)+c_Ol z&g)4p0SIeNVLzuIC$Q$Kv)431hX(r0mpMIfXhiO-8rL1>oM5ay{Qz{NSWyXKs8UNn?C*i zSq;S>Im@B5?__;Ij;{VX?b2~ka10iH+6#U0wRfM+k1N+Y(HR-NClvc~WKPM${qqfj zE(A}}f)I|^v~N?7(2z?C7&jFZ`~>6L_UYuz-Plw4cY&pAM-JsG0IR*nmp@s_>z@8 z?yP|%TQq}p*`cxkQgpDW}#l)LAt56#ZRdMcQxpy$zA%&Bgi_wC`N6LNQ;rv_Hub&FNH+CF2H2{Dz-c2DI#w3nQb7nb;m?jE-Xs{CFd%G zIHy#t7Cjc}rs;IF1D`=UH1K{9H(8bV946>$!-I!oSb|6r$y92B4r{Mq2I4%NRpI@r zht4Mjfn;6+d2_}TWU=1DPJd5Cyv6%x2iqqX-e&jdq#6CBvUq9E4N`p`yofkLJc1YqDqRH16@$CFlP#PE_Z%--RT9-zrW z#hn)l?_u$pUeoFYRBQE^5U&$3z>wM}D8F=KXpb6aZ9{K+429OW23# zQyPaN!WarmBPhXmLgMe92Tvj}L6pq3zeY01U&xO|GCsiJ|4PZH+=n=3S~6_@h>(Ze zS9oj5lpzRL>phBD=He3RY*FH^fO#>|?Q1>mSo{Ny{amxp{LG%8dKt1d%ufi&W0=jm zJ+b9BjT^lE(688WdEe^A^`s`rQfXN?y{AlTKj5*%svY^lr2L7fKrIl^<~A z0cU8?@^K}MbecVpt4I0x_}K~}?y*z_G>Bc|D~2aoeu*iAvZW}#mCC9( z2`$pf&rFvJ@>02V*rV&a)&baNQ>;biwPsU0wWwLQb{n5)Zi`TQbD_Q2L+iT~g={(c zC%8g-*jff_qgC|Ij6ZFw+D{@Fvf1P}lH&DYzCN8vOo|pI-oP-Fw!L!Rg;S}ZV2-4G z`-D-132s{cDiI@UBy40FK~s&v7*h`((&{L7M=lROG z^F~+$6KLsbLt}LR`nf;VJ_FbyHvQj32JKR%AN9mXI zpRl0?e$$ELK-PE1z}&K5XI<{faDN>}{o?Db0q||s^tI9$i!JSm?=H@b%zL#ezh@ix zd%?1va#BSpUMh%Q@954=yZTmrGO`oA`KQJi5EZiSx+{@~jbGgh7k6abD4r|L9$J^9@FwQ+Dk1bX=f0eK0VM!o4_ zOQyK0JW0Ie>)Yq6l4-F)JW^24_Y4i6XG0E`sL(M-NnV{|42by2g&4o3w~!0jz*bga zAg*F^54KcYD;N*Jh3oiX4=#rBQ6C6Kg745DP$;smQo#78s)`5>g%o93Rj5z@-)Z8S*Hz1hE26K^<4_G1{ z*NzR1P03s>OD3F+_t2_vod=JU;xAI^7rXdC!~LS99+|EOb`PlHV&we5fIgJ40L2WQ zK##>@;u4aQl264Atu2fkEr61?VA{&U)(j|X0_M#uoIRLiz=W8SF<7>tt@A?*C%{h< zP}T0Gt;GY!1>pWk3;vlEd#LiLf;Qlh)6)g~L4H0I{8I1V)cB>WxU9rOpAR~-{bcPP zl?E59+DXew$Q#=Kt|(AK9n3SbumHg?RXm)WK{gMBE#Nnv5-j9l7>*Y9&UTJ~N8S{u z4%Ynf^`A9=DEOt_3r7=>BiI;pzl;Iqhm}EQ7GQ&W(20VnT+jny>|$?k4YGOQRsTW^ zKJ@WR(C;Yxp~EBPs$ggX0{#~S!0e%!6M&r!C<|t)EsRBN&8$HH7NF<@24HfSljS!& zoB>R1;4k8a_A(#~GxMLXL|x6)Elj|KBPSaxn5lOE`Gu96gZ;5T^`A94z*f@ut<{4J z6|k|?z)c?Oh&__2Oq?w2j~#${U=EgtZozEogYCf_Cx{JfxW94Qj~wq`2K+k>3>1?G zD%d&N7+M334FRlwHuobN{NJ_`7yU1-{zjlby2UTAQ3Z4Jk0yV#uN2!~yj|*_yj|VG zR@BzX;&<N4Bv@@9MXMOanzYOqiO#EME z|HTjftlR&$e(+~T{CCIyBmDdo8}`3oBmD<9Ok#G{CVzyZ{|=zvwk`ervn{{a6l0R2tD|0R^zx&Ay`c~~Di{cW~#|MP79Iir8gRLY@H|EfpwvIY1D;F2rVrcWp3`5@ z`E$1T-JAXioxdpdpJCx;;rvq&W&KMKW#a+<9z;2~dH;ZgjSKwh!ASoC%X5(7L*fLm zv;P)PIk+FI|Ar8_H5egqkYoerC;&Gb&tLcJ9uv`jz~gU8Tjp`9*no4kp}e89`5#dH z%2of6xPL|`;GZ)0&&|8P82tCVr43dUoWqp=oVy=OeyREA{}1xc}VI^Y~Tp> zr$o;ECm-Zu<^013IoLUVCh7l}$hm%okKY`Rm4ln}u?GMf4;K%Bn;YzTkJkJxle0a< z>%Z8irice^XFe9_v4|3zo78j!G_;~cs$SDq?Z=jz6v_C?k}tDZN#&DEIrW&FXH1t|dG*(p=4bPcR`CKn9RIQ3_wR!oS&vh_Y zS~kBJKMPag8~NVgHrLT2@Y*iA%l(BW>kN|Fr|8tfVR^Uu4q*YPHN|fgmHKzi#7_31 zX0-2je#-ptAhT*mtR%z|8J7Ek<#c%&z5ew*PxidCAzRobanb2&4@<3j!)&{NpW}=* zdbZYnZMQr6xtw+MPzd{XDR1|8JKa4(0vA1)1l|M+y|bS_U9KgRp($Lb7K0U_U3%L7 zTTiRM^;8!^>%3;M+`In7s+lEQ95(n14R-N)@9ezS5l<^N1EqYQ>eCDh?)4sHd3@5F z-1=^AU+kFv-XgD~nT!?nPkH_ZC^^4wQc%P`xUWKj3)K*z)hYY2AKl4xSyPPN_xOb;ei@2VuORP+F$rtBtdSiE80O9DG*n`3L<4R@7t z$SpSucmOg}Tk=y$4DWV=LqaI!Q@G{TORI{o@$g=A=ziwVE$M!vprOI@(b;3lxe&>U z@9zy7!t}|!Pi{CI+ODM7gL@`?oj*O(IS`m{ov|&DMT#j;AML9eUz5o2oqVQ)&c(eL zE%l}V?9p}IPb-0=&BXr}8U9>e`h~1rgNyPXnXSio_rDcur5z1D!1>zQ8T9k)*aO4y zKZ?Eo9&W)@$$t&Ey87lg(gZ6tVS|MCy?T;X7U_5()Iu)0S5-OnvtbnGPmL^!X5dS% z6ekdDEFIoWP;jD0BuE$DsOPB&wXfProXzx8G*nagd(Y*5)V6 z+|;(5K7!8dwes!TnD5HX_H}#a@V-WJfM`$G(sS|g6q4#KZZA43hm~=Vq{kR$VUKIS zi>i%R&inFl!q*2imVE{!V`XH4SF9`Niu&_%{4_e_c;cN!BmOq5Iq?N$)olCpS_?)q z;KLn^&5f%(!?3a%Ey*I%Bax>IHeJ6|3$eEXFWynYSuO@y}T zT38n*8Y#IY$jKIt2R}6$&NSQ(D{L6Szp%DARK=W&hau!f+D4Im&BdfZT<{3HT5;7q zksZlfCGSTR0!J2O!H8UKO^ zUIo}{cCC>=9X`X3Q^H7(xKW(Nw8p|pr8UXzTtI-;ko8T~5zG&y#FE}_4|Wx$Ong6h z<_!bKd6SSdV~!$r5pS(rH?$xDi6-4lJiKdf0ebZ+vAq-mlRLsX5aSDfgl3?;m=U#g zJY>c(gjgzQaE6@^0`tPz8mXPlnWb)?$||V_v0NJDn3|%Q=7`HtwVRZhJKsxpo(`K% z#Sg_+_bN*%5K3)SrdXguuyxMKQi@Nr?2R3Rp^60zLIf6@DRuhSkEXagF#J5aKFB+S zm@*!5`tX|W^yzd`vcqg~rp8GCWPGrWrQ7mrGPE_PibA4r3Nk{NRfq@jCU|HmT3I0} zTk^YEA$3t%N|4y39z6ls z`Ax|9ZoMT$YNjtzd6`*ePAYc|WkrfSkGcT6mfLH0*DLzkimV@E{jG$Gz;sg={HdW1 z{TZi}*&$CNg5H=0zCPE!A8`cs_aXw{En}|XjSQzgpuJxfYNv!F_QQD5@@eBD$bEr> zNP2Hkdc#89tJg%Whla^;-eiJ@fQyg=EmR&ZI><0Vbi?5HBGxp{ROEy3{2#c2N3~^w zQJSb)c!Cb&w@)OZ#%QW7mbfRL@W1&$1GEL9Qb+~PpX%52Hh!AX;-W5k z%7KBJjx~i#^eR+7dUHA+Q=-#vs-|r9C<~=Wx z$9<~zuDc0yrn+d&9PZV6iePCJdWw7!4j>9EmUK#pRn9pt1(r%%e5$Q4>x9*{XZvYs zRcumhp_`Pm6>Q%to7t7W^_1$N8SVRIJ=EC295h-nI9lC#hV;b_Bb`g&GvzSX7dPdZ zVUjZ6{5c03_mNH)T@CB`T8uu5^vyEtz&V67X${y zDu$~p48tY4m;`5m_SPlNlJI@os`L7o>1eONcW4suhuUej{rUGP<#fZT zZY--W^6~OB6q%i$;*h_8$+GRO^x4>3J;?i9LY55MlFfg9UKT2k{OnyO_e+yCNv^_0 z*xRKM`XecB&jGVV{E*6Us}gFCjls5Kd~`xC3+7o?af~X9cVM@ z#=2+c_(IQ&3(vY*$_%DlcgBz(gl5>DVHJ0Y*Q7wE^HmrHX6|P%8MV3NV;8FLXXAp_29 zU#s8Q>;}i0x)A$q3iEwdau5T1f#eAp{e!zo^c3|vhrs0-su(qkK~GlEv+Cvsn9nDs zR!oRI$4W=hA{{lE0#(A?-&jeMOW3s*hg-9;nDe;mw9RX3Pgm-G$1JAiCvVSsOTRl; zarSB^UU1?9$SHaIX@>Mj%dwW@oXlpnTto*vU)`A&W_UB->bHFA(fHU2h%+!6^?1fC z2b{fQ)P!HGR*klo&5R5t3SNVG!NQ`a^dT@46+QvDYLFXZ@RHC@q^9x4BJOE~{MK8O z1m>rF!+mS%$necC7_mmZ_4K}qWRJB5o@R8ePCI*Eyk&j~B7T$MR`b=kFp zW9@3Xd6EZJ+4oCcbwmvcwENpU=BtkO@kh(VLuH6XTvy)I&g*+PLSL1`Q3mnM- z0luC4^e0z}GRty4#HiErh}`dnhOjd{*K+K7uzP-_)C`h;Pkl>%=D*Co4^i^z29pF< zhM%^SqmYYO|IW{&QKdxj0-lsGT{ReW?;V8>NKEBR(~*0#^H^C;xR!yA(0#<``TTlH zVj+2$9kR&ur2O}_(77x|T&zEG%s-%)unDaw^_h*+Y()U(Rq`iMvuP-VxMWF_nxMz> zqn#ns#N;`mo`2*XW%$VIf-6nuG~LVHhq)8HGc;d-L^;xpYbb{}{E|=T{#quEd#%la zSvym{v5=9NVGUOVlVn~+I%UcfY>B=N%t!=1oOxm$laEuV>k}_{hg~#lz{wg zVKM7&LMS)?DaMp>e~s6TP=fnA>uKZ&rYO%Sbj>YUiOlGo^zpJvR6+F@E9)LwMq>-; zQ{)qU99|_%_RQYQ6CmbRj|;K}DI55IUMT*~V*cVC9&T9t_xB(E^RwyQr z{_8%&@7rDAF8}@3!~g!(2KL`BSNyNsZTQRP+@s`Q%k6((^@4X7x&KNsvYXI(7+!4ame58onM^V>AFrNFo6y#L@B6hs2yg_T z7X4hBsX^s$%RzK2hMnns&wRNScHk$(?-yq>o<%*bpFw@^c}4Y%{X$cBNw|fyQQNbA5LQ+dPAs$`GW5C;Re!?Yo3X?a#Xd z=v2=t4lX|o)?LVMj+12zUGg-gRu6i5#=nTCFHG2Cy7%A~q^IGY!j6~6n=*3b7c4o9 z!4+6Jb~UNKWfP=7B6m#}e*WU?CTW(<+{CV0n`Xr)?sRwpi*{WK0b*2r1Mx}p``$40 z!xLLAMC+;nI0LhUr$T3=o}nK)53L ze3_yJ@(SMX?IiT2Wvv69_ulB`mMWh8?*7{u}!wcE({d{Z22~kw|Cou^pZb#(Y7P?noasBc*?RJ9B zl7J&o?D~|+UqspI-py0r$Xz18H*loBBk5QNY{n?aEQ{BjDu#180b7aqSnzlycD-X}oW8*|T$>*D& z&JmQ+e%6ni;RZQd)2o}%AB`E~k`X^c-i1ELAR!)B{yYV}&n48z$JADHO{_0IS$i~w zHV?wi)-Rl3 zY&u_|9;Q9Eu7jDTK?37?kTLzq2-;VzYOEbkvK29MswzQsF0tnBfqzGG$Kw}fz@NqUIwP>di}8Ku@eNzP%Av~aVjdgn@vMhc7uunc!R9Uc${fWQ zYC3C5px`A3?{%m!FZYn(D#lqD`OGGrmm4)j`EjjvfFp7lB34|z1o0H->XABuf&Ioc zsVmb*L|(MMQ_~7E$H1)iyGzo%Z)kj^!RlRuXmI@s&Np|?HM)AE+l!mL_aP*k@6kq; z)$Gd}-}d=I1eFP+cO)y5=?~vdTR^mmG2BBOwwrju2$fJA)I%_UGBK;8=H)f`5hS(W zMw+Q1##O?VyeSe+!jy+$?e$zscWoLO^nCKRsTS3Md6^3deHrfPYyFPgmuid7N+R;i z$aZHmH|o{0P~ediwq8f%ymf)uHjYORUiqD5H2+9~R=KhuG4 zL5tWEDr$NGaex@=I9~861fZ-yZy%J`P%B|qwU_g?4&h^L?Wye4t%xW_EU!p(e7+nb zj>Q&!+yxgEx!`h=Kh7=mvC{c@gfy#!2wy3=cl?Wwv%t$6RH|et=*ms2MU-dlZ;Gs= zR?8T>@OOEs&WD<1PaO5T&THy%Z0n!JVZP5e5n?}u6T!mT<=Nqbu~SZ=V_|F!E6nld zrh~E4Mu77~8x(n>)Pb>t92FbTG9ez04{56i$5oGla!QO9z?BzOP^S*F4;${4(1%9l z5Z+l`LcX+}5OC}wg5U`stE?EkiXYUEAjJC??g+Wt6{C_$0*ilB=UOYeTyY^cBq!P2 zP;uBgA7Q(v$Nd00-<)BsmV@&NeYGV|{XEwj6OXXt+W-yZa%x|*?f5&SH{y^4^1TqA z;R4XGTI7^&dHC&LJ$h<&nbi1<7N=_atBtEGB#sbIU60h>LTW! zyqdL#u*#W0rCBNQFY$!FJ30sj_;Xs zi~r`%*DsKa&|SPE)PmWO_WF4WyKkP(Q+VTbGFCpFcboXW4u|~os#-+l<;1-;O^v+Z z-IOmuEaS7irGS7HLxAL=7ahOO%RCL+Xb-OqV0rT~E{-t+T z))T@ewG3I`(TC{W0bbtjl4xNG#ZAU?-F@)e&}qruZ*sEz%2FpW_k|InGq7sExEXY| zz9pyDfZBBQwC^BNidV{nc1%fcW6o;|u63n`cIKEiv4#UCO(jO9{xbHYa*y6{e6 z28t$2+w(TXcpgEKz}1&8Kbb)D&maJ!cfSoJ8{M4(R*t@3g(nQt&!hF`3{3!uo&n8P z!?#!8Uc3h0R!SB~wkC6l7DE<9sG9mceG8+UIbDkQ>PPjrzKRGrf?QH6kq=6xpPk6n zL4u6_WbBqv24RIb!}TgVhLDkoA;nPgsZzD2lU{E915mG`EGY0Kt0E~~slz|FHyA5K z6n$pJ8FYr0rjw1Mf{~G@o$`NY*PYh`{ zLu_ba_|ZQhq7iXU3q=WI5P0wE#1utMQ2?rn#Rs0wcTQZICa6Ix9R!3b-^_oQxXz?Z zR+IOLRT?7GCTQ+(a{jo>wktTyVy$NR)vqQz_uytqSqg%S%^G5EM-GF$2`v!%MyuWD zVD1=f1dwx(e=<6xGB#55)XZ^)72wu3D!C!gV69zTD~nSzy>6fPi7?7*#S^X!azWr) z^yG=!yQfQTGUMkCeJ@yR;Do}`{4#K&%a_oCv;qx2g@`Dp$hjLkx06I7x{-doG{kzJ zad~>*Zt$#P`Ky9NJjIB?A|!MtT06`&8X*K%#V4q$5+?!?W{sv;)JnvoPfxs{aL@Xg zpHj>;26OkXRzYL0jfumBdC51@tMRm^Lz6ppsc&!WY;f>5!qGz!vaGuRK2DsSOA$#V zC>C^u1+#JWUMm)e#;nG0)T$gw5J9OZNrjqYMR34iVB(}imPbKH=Jk7(oZAFm4#b|C zKcVaC%(BCX%}}t<$O`DXl@oiuHG1!0$7k7x3CD;2hTtV6`Ez4r#q99+K!Am@hCiGQ z3gpDZyl=HeS8%{skeU?wVnri!P4^lC2|{Cc)fBUdvX-_8M{Rw!f~&h>&UsEbCXK4R zleQ}vQW|pHn{N{Ih0nF|nBfsX@WkDj@Th3W+8jJYB#wvL5MJHo^b9j3Zws7DA#=8P=n&w=?Se2SY2hd z3qaO5NJx1L=_4fxM^O6H>+;!)TcgJ-kYZtQFekXX#^#yU+hM zY*R-gM5BI#R5iA*5xTl=hcxOdu{}DAOIN>|_qDEQ^I&$-7)&uVBsbxkMgCa&Vq6or z0}&d^JoeI>6$zkY<7Qv~nVAEARdb~Jx2-S3OupR0v87G~a)~DPGEK;k^mf{uG@UHB zNIkq{Q*$v-y=%6+e=P6KUD@Ib7n8uXnApxkb_A%}FD|)DJwWIqh!mOoJSwO9eQL9ubT~*iRUb7YSiD#KrEkbtso4NLPH3~ zFa7;0&qVNKfP=qqczDd>c z)u4dr$gLs(rUu6vCp0?o=rpfBu9~*~qs;rN5Ps?5A*-}+h~;Bd=V-M`Z@dL;kQUh% z(6vEnjppL7NTvlbw32dpmIAosJQ^V2WqPdhDW}~gzS=8>Ha2dv7=S`E-2hSX>l&K&h5LKNL|DCBPxE4}(~G zkDpUv!pPigyMaI}N(@gNgT7oKNM`T{#=BL4W(hg3m8V#qPv|~NkV^hAgiE*)k!;pbl-2$}xf_ z2)3gpB=b=4JAc6d#HR-M-7 z=I??5#%i)6h)9ZIXrDeo+3?OG5f?mGD?U5{<9Ia@e)a*vgpXm2?%o9>jTp*WHgIuR zd*tAqoQdIcf13@>$~C;kylP2%$y@gi=a6)A^5!f7xaU1es8Ie?&w?Rklg%M{p$JN& zLvf9s1bOS#vlfBER8`YmO(AEIUMN(n**liXyE|@ZX%+`sk0U#@76)b!RN?RwKW8I) z5>ov&#Byc5jda~NRf?2$mq>#uNEw9NuC?>Ye^C;Oy9`(?0lSe)%W+3h>BZYE$vz!$ zjcHpO;4BDV;OZKoTxoE2;Oo=pH{^vOOAi#AriSy#*1K(#ikC@_vFdf8Q&!eT@~hEd zsygs?g`dWqD;kzF9fs8-kENMvb}JIFu{JnaK&pTV)IRQ$?X)v8c?nY<=eOKPW1@t0 zF}pxRMe1aCPz=QfxNBJ#_8^5b-|#_Px3#?}kFX&wS2pOnnuOCqJyLSl?tGzB1rvh0 zNO}D|levz5{Ajh!$w+alhMoNm0`2w!rm{W~^?vlUoKFKcuA@QNgZH!upj^!BFmge~ zSCAp%8I4-<4CXBlIglPpHN&M;;;kiiI}!;!9`mhiios3k{-EXHeP+ezr^>#0rZ-5n zwDQ^@qZvIt>S}i9;xnOqfo{zQJu+U#%s$Z39!o1gCMjFQ*Tk-AeF+ch+k75%j zm)@L*@Qq0RLj!-EGhmTrO$E>3^cl~jLG*o_C9>IB=zWw?gLXD{FsYr%*D@GwpZ(cY zoROjvtK)vE*iS13-%js}fLz#E0y?Z%IT>x&upp_()*MQ)j@SC+;dvgPw69@;NK+GT zwluyar>v5m9Y|{1`s;6t^t8s;1-)Q+3J<>b)np(vN3WFU8~L?xW(f;BLc;jOuC3~1 zA!wg5#lK^p5%Ip9KqpG5cM(ZqrkshAw1wg8sw#}Q2MqN3K|G2|`^L!i+BLS#QyC!F zRUlX0rDI8mT72blLI+Mup2glANhCQ!h>WGMi?v4^)}Y~_@`M9TMUwINQk!~Yha{c* z*Ug`o9hRy1-nO|wdrK+lyuxjB(cx{d9{${D!nXdBFyD@QxbGm-xsJoLnaCn%y->bd z^d4}=lvrD`YTU1@IM42rKvN)-wzsWzVH%6#omjKsQjSMOx2n}5LgH;)1}mrc$ug)p zb@{%(JXqF6<0>DSMkidJ4CD&4j#IIaspnWeL<7W28E&e|$J6MeyA0R%sTti;XM9zs zX@Htf6!CfsTLJBDH0pidiq&%hpVhnVv*AEH9b0}?9g3Avu0@kkeG(0?*}*`Z zm&DuC&7w1hqY0?H&+aQeyK5sW=ksH}S};WnbiCej?fMa;6J~NWK%&l)lOw_gyo~r! z`8B$W&jnVtnM)yv!ff2xW}weLp0PGm+kez+t@_>Seet-0F9SypBhnMub-^TfG{4j;7Wg`FDgi}coS?Ucn({_YBIo^wDG zFm8w^6N1JuUwfLJ;`)rq-JBGJO1F+XoqY>tr~<-h9kwuFs-gqUJMI1DTA#G0r@h47 zH$B6VT_r836Ifn4fix}I$c5IIW`pOGZX8PyTY!5K&t`+bZavr@{e@xmt4n!q(ApT^ zfOftt)$`f2qomS2`WuI-SG(H#{Tk+2c8$()1UXiU{B}y)c&9?ps_s z<=gbH)&0!82^kYlGHSvs$NnQRjOWLZE?tbY$iy@6!@}|S>4jij+(y<8Se5rD{i;&8 ztldP#w!&VWvW(n{)^c+mv*{)?$2mOTo7I;%R~Q?HFTcU7`Mg{|;hHScYIYgxMG$d6=9j$3biTBRPG5R2;W-AGhQ_6dDrTOJC<$D&w~_ zOtD9}Y%tim3F*r^k!9ag#1K|2p{$C-?onS$AQDT z&K-eyjWp>>L62*zH9tGRyA}B639rK{5gKx06XsMb?SR2bxO(|`hvpqQDWblLq-`fu z!!f))YeVFyb<2%zAGB@zAV+ROe6wpxI@4?8Ber)LAU+b^74)%w$%vQ>?JX+8I|>a1 zn)4r?uR1D0QsJIrM5C<|lP_UV9jvz;S0`_pZRT@%8b_%Pinc^3zsrv_%!`oWow$+l z;%&B|WZ$b8o9L|MCJ-ePlw3of28Yw%sb|MMDQ<@ASHD7NLxsiAgto28Ow%NNAB_^K zempC1@3C}eLV~uiA4Ms7IONA{Nq$v+<2SlSGx9Bv` zF0di4@?58!p_@lZ5T^R{XxeyHns@mjrrceLl60l(aoZ`@>8%r=5CmhJY)*27#N|y_ z)wjKBsymrOI32s`>#=21=xL5G{P^{>Pr8R#rPqB=N3VgBmPD9JB6q}KBd3YsO|%uM zAb~apoVv<_!NvjI$4>Ylu7dV>C?xI4p|sc1Wp(T@@0r(vBAtZGue?P7PrsSV7I^3t z1)nXi=-oOQuu|`)H<#gD*d?wLPX55_o8onB<~g<8pi50)Y#D^n6jTt_C(H^Eo#f#2 zpL>J3{5>u1b&-vyH0(`7m{8H1NH-Jh+P(k&rX?6CB zPP0HhTm*YO-2UQDuu`KmvSDHe^*t*^zsfeIkpS~4(yqwC#-?8wUG0~1CIuWgy3>uF z%XIzm&+V7?4p-YoFeMQiu}JN;dA&3<_awdb%p$SK-yk?X)OpdI!Zy}d02m16Kez*4 zCczGB+;yCw8mSMw>U2h{qI}hAhNR;E;U%wzJsVb>S1by}y>SLkL>%*GoEX}T)T>-U zr(h`Jwc^Rkbhi$j8_%olfjOXbEQ6HdXKG>uHS{`JTz!&u-pP06Ymof^xt`f-?QPv^Re6 zqUE=IbN+Ae4qXJphM6WzoR;4)JzQbRY8(Pdy5^4LuPMUN zx5L-Fv1k?!TR_<464SG6GD@{m*K`O7+jbkXp6eBNt3I<9lc7R+f?SUR9(sNKAcG zAhS(#6Y^f41o) z+N{47TX1pzt=Qr}SIqreu?06MwgBUkb277%bG-VuVvE0W>i=tr$)5&{e_Q(h*%Jl- zseR(Vo-+7XBSmiVSAS|4LDRwivj++Ot$iYBGWl1Z$-kN-z5)*>{8b$Ar~2R(82-;` z{`D=W=mr1(l>Pkw&};G4pNb-;|8JTtvi|K5{@2>l|M`Ig4i4^re;`5IJZwTV`pUBT z=7TQE7Zl6*BVz_1$fu-kW9;YUhJD)s-Sp%IOK@hWLyl(X!r76V75_Vl*4oH-aMZw@ zm(%%n>mx-xM>qw}XUCqEOpRyDO9`ri4UG9Qf+feCtp?>y=NA)8RWtZAO`gh^$Cv8L zDyL1g9EG{)M#?nK@{%eVI(!z%f)_J_1Q#oPjb100<6UGT-<|iiTMkT2HSh}MYKOit zi$*$V*ViE@G}Rj^+Z>>s;d5R;#~{1ezf?!kdqc1@(!?m-5pzR7eKmSuBKUgb=IV0X zPic_`uca%9%*N)y)9sRA0~|F4%Pz^Hay>yl#*)+dQf-~J)-45k70D+8TYKLz-RYxM z4faNd6_`k)RR`5mmr$;GEhbgN4p^6?XhYgruCd4!n!T*N+onk8u!zO>hS3SR1$Zx= zs|xv#swO66>)J0%*@BDQ>QiDW9x2m|#W`qP?k+3K*I%0B?pbJkIrtH+a^hE`kR@$) zdGA_b6%W(l8r$6E0`1|Ru7y<$)Mn?h>O+%dj4BGPI%9p9>h{bQ$$78G!JUPS1U!U* zg?qD}V;I9ofKmHl*p{1A-4u+)wNdYzUPIew+46&wlh=E=ly!b3TCS*mSY`1g?$^)A z&^n?ro&*ZQ2~)nWXZY%R{j?e~Xh2kjB_z&eS%Eq&OdgaT z$$qhHlSJD|7A`?+d~a)Dw-XFed}BdGBS9H~)_$Hd(zs2gh3zR8Jz;+Nd$b!fK6im) zv@x(iF!70~tfJ)g+WPUf;;KY*R5?K;hPDca>Y$|_dCU=_%uQ|XWP_=_=BJqPUP>2T zc0K-PDIUDR$#hGLJ&ZY{1fSe{a`wQ70G2g;>6B3%?O1mbF`(Q{YE zq868IdX{u_)+;3;_i^FJ$S3J)+`MG@CPPhL``pn#`De7NWNZ2F7$e#2+i&3=`eyNS za8S0HU$N}YBdW%yrdBYa-)_*=-|)Vlyz&#l6Kklf;(1Nike_t_S7T`~*^U;FO@9e&qgN8MduLi)1QrA z{+3rxoWI7;);C233Dwa;plR3l@;Y$6 zp$~VWtj4kG>e9ddROxdp@WrhjH@siV+-mD-E{>0SIqJd45$366TlZ#NF6&y~nwg^# zlkG=9s`~c=mWtv5y$htyqcj_9-e$V^XF^Vet#7&>wtUR_lqeBR0h3O8v`qiX`h(u` zu=wY+Bj^gncVr@!={83_gYQ&w=VJoj$3omx!ote@{GvaNKbBN+^Wu_P;Uf#l=!CbWMI@16i~Nj`b$8ox-Usr?SQ5Vu5|bF`jcsXzN~+dZD)BzKlmW z+GunXD{Nuv*Ep>0m0fA7dS&<5*oJnMNG+2&t@4Jj5C=>K^mD|Kd>7+3AYMv!Iv>I` zNAr{92Mq+OzjhBiZq4gCpZ(cNRDh$+9)X>G#(p)vr)E;oaHaPB#a|kt&6-U z5lp6%oAZgm>yi@}amER!H%}09B@4c|e?3-L)0^0z&lpur&!gjcVm;=mR)p1hvfJ?z zEh!yt)Dw*Up&;;juCFDmq4=ULjfsmj*T(zJdq|P*!E%iii5N;De)Y$M9tc0R1E=r# z$2$Q}tJfFx3X7OH?uYK;EhSeB4>6dIkmJ8FeF!WZ%ycUTLtNQ>6=%X^vg1mwicJiNyX9%kHsXRJ+Z@5MDJ z=b~JMX(EA^tzVq!z*9^j>tlvoOhWPX*je$Bc_Q>nU@P9kp^p=6g@=i=zapWz6)iu; zAOXD`#MBP7pWka}5Sk%s3;lBAmJ-FEGb^mKDNvSrV<#)-OTIP2dBJx1;hZQiie{C$ zm(1}({mqjLPo$R7dbIPlNVH|vK#J8AT#DE(a?p-gNakL`({Jc2+9XFbT(z1(g+lM+ z*aKe>`Q}=aB;fijJngjY{nnZG=9ym3M$~)#of)8Om&B_gof2vjX&5U30GdY6>Oyd%?N$F~2%r zyepZ<^)W(smD`h_8!VV77f6(|xrtTS(?XGNy|R1LYWGAK&+1iso^!-omDYxWPcG0A z@^9Tl!Z;z{cljy@la7$SmF)}v>Zqvr{OZF4V!tg>8cB9TzGVHZaGGiEpzVQPIs31) zJWfVN%RG(Us8(LmH~+=cN*hOWU>&<+tBGbg3l1yE+^S;ahL&P8`$OZ2$Jq zT-%p7*MW7Ihn1zs;RWpe6WdV0DWvDkc2{*x4?{8pj}ouhU{X!v{9PeG&a6>^k#Y@dg|*vWm@*gd`T zaUIkjj1~%I4iG-bPyV)P8bCj4Je;?PeP6smafH0ev%&C5LmeS~;1tc+69a-&gPXX6 z0GUX}lrNFoMh@h$Asez@`?^ds+j?I7Mo zS-Z?EN+)-&HADUmvt61#j>~g}a0EwlY?PEFVIPftW%F1m|HiQ)%{`+!F0Nk-+}gZ? zJl}pea#yHU+UyO`nfKrP+A42cOYoACp0Rx*EOgNKX-$*Sm)aPHQnRKR@z(Mj|MKbk z)e5w@oDaIoX3drNSW=yHO{bxn$FkWR0(o+8$am&x&EQNKt>Qh7Xuth?QzYDjF_=zu{xWS z!rd=U$(=I{vSoKuX%y>1=UAROk3aC4^;>cI-evsgUP*+I=q}>vH=h*`k`?WN-%|#Q z3>1C!B8vlRKRYx>SA`)_41WA3J>liTH|-S_mu4Z4FP3B%7p?B;C^g~M+YR+)V3w%c zCI^v4MUM!qN>)63h%nVxf*&+Jjn=mxVza2Sy%&*=qmp-Nuttb4WV`lb<)zbubk#|_ zE^VVKw>EFL?^%+(^wpTV{jM|wdDG#a%xbb+7W8!r%r(_@YUbjWTxv+ZQ{-=Dm9gF* z4Bq;v7w1D-;iy!72rWXwB7zREsr46RD{;u%H{7qWlrX~0s_LO^vPCSvrB6b+S&5N3 zK>2DpLcVYMz&}PNi#mjVMUO7#(`mSw*`6{U>n4G29PSLZv%I_PZZEcO7>9d%RDqIv zUPZ-eCy^H{S(ic4U-SFR-&(59>q1K+yS6y;_snoyu%G&_ihOy)r+81y+YLJt%-;vh zpGLJ<5&H%1Z;E#ZzsQW4)km3?^4T-OYC`p~MRo_(;_j`9SidQi62hY3qSbO0ksCgp zxTfYUE)l>MvKk1Q{VJV7aAuK?<{BY-FU*2Sxx)lkq_ihnW{qtg_uvzSvlg?i{m#wh z_-MUQW&(R4ACd3(@)Go!wSMiP$2_Ax(iA=bO7Hmz`(IJS_Llxy5W(jA&MS70U*mV) zGKpdi#k;I55xKx{`8MN77Uug(_@b)#t{mbPR5~&gLFCdDOg@H>8qgF1?~3J9{&P{* zt8xcl4gRqz|1$|A^Hn*nk|*1Yv=Ic~vFX#%bcpC8$Q#gP(4uKph3<*@NtU3!;bb7> z#y!Np`$~u+f!b&KWAc5RabawW@@K|A(gH(L{TG4~YP+6Qa_YYCIL#lqu%XD`{D zXje1a;-;X^7rqBT4eeWPp@`_c-*gph*uE#;rqcauY{~vm~mfx`$VxSy&6aURr>LF4q^k!vBkGN_Zn_KE%gHg`>(@T zk~2FRxYj8?qhv~XhBLUYYNN%v9n*AZKlZLP*MmyW#8lUth)bMykl_0w?qI%ZS-AT8 zEas~q$*j*Dr*vKsx;OQaLXmv&LMr==0SB&P-;;8j2%dwmP8T<|BLl5VxKid${WFC@ zRvV~vf0a3$?pvmSoYu0x+eYBe2`20 zRa%MD>P^Az&ngb$H&gNMXbu>?eI<6wn0Kb?g=Pnc9u(XCcu^5KpBTYG;Sz$G6g|YH zo^WZbyB)$|xa-rMG@ta`judv98S+lo>}~eTR+%>sf2!PJYY8H5bw<{m%M(fv+++0- z?yp0_acLm-U40QI{FKX&4{0)Q`n6eratRVC&mP5~6Qci<_!jZ3_S?6H8iYwR`$Ttp z{S?#6=^n7XJ^s1+7)8g4>EWRGml(WSDv6v_w<8jQ9FKLnBBC*)2ly4|3gh>VFex+t z{M>pUp*q7sCgIU=b70r?PnDRfdHK_$bPs+wA&h$RpS<($5E9?632p3=}Z=IcJ}TLQd8t zV;t2yh-aT2MXlADuKuhzf9My)p_lHgZNA|-6R)dB(n1k5`xijX{sE}JBq+74M^ycW z(Xcet=}F$LX5-q%eC?zJR7Kh|rmQCbtm>LdnA!Z%nlUCt*e^Y`dqz`nYY_}wE<)f0 z@P)Fz=$D}FPhvoS&Hh7~5l<*$8&mzIB!g3Ndg4;AGdMbldJBy;($ORg8jk)BPft%@ z_TRH+^07Ay5Gh3>ef<6KCA+0Ts?lN5r@Vb@)ALV z%+HC;C`0WYK+yh_1FKbm$SZ5`VwsiJNiw3Y#B6E1XnfjlLS$(G_HqA|NIFkuyI0Y; zOha&zeO!PePK(n?&I64gjbv)(rO0x0p>@ELnF-gCBXOXYv8#KL- z>@^S7N_Y(m@^7v!aTb%4Ts?N3A8`~U+m{RmvxCD3-HJ7)(FaDyva`C|j7Ds-uZtWT zgd}>V_FZst0$vte7D*RmX-n0a)&yXLfAP@Dj?y@KR%0}CZ!JNBaBpi;@5dDC*xh4| zk#b*g9Yl#T^QVhvS9Pxx3K?~;n@ z*-0hR)-t0wE?2EY#oFT$Tr1uk+;()-KK=*iR&*Y<6VG23$Sfc8bEbE$uZk+kGZmiy z{w6Pac_;J1CX_18?v+tz1L}(`S2npoRhzqU&RCUA$M(NVr`rm4?Nl-(&~C(}UZYM(RqRnv9H)blgwal6sC$NaemoY;hQdRDRI zHS!zVmRC$pCug|q()!Y7p~uMrl_wjdQ(YH=2?1M-(=8r~PYq7yq_E04#h%H3QE)Av zQSAJJyq3>-n;x`@MalrlOuoXuNJALNQ5eJzU>CG*SZfuBCaX+7kpN42F4zc(-Y$b~fn zX$_T)%_$>$SJFa~l#tTtNb-mD7+G(+UC16}dlo0*N!?GBuPPnyrG+3F2yKn^CpKFg zj8n&1190c+wTok`6`P`!mHf%`-?-M52X=mCsJODt%F5t3q`in!<+AabbOpW;-IcmM zUIq5*$2J`}wK(N=a_b5YZJ(mLeKTAc-L3IvOmWz|nWq{tnA;9$YV(?Wa9HN7H4i(m z)Yom9d~8xLS#LE>Z#nsRI19nY7)Oko*ZMG-lo?w`-AYeRFzdHKR^MaBfviI;Izden z6e=v{hDOm%gN~0>N7dTUn?++Cfz+q9Vf_`~)zA&)Qx#%4XN36cTWqgB4eeOU=HfM+w%xh8Y{R+1H0PbgS4~Q}WLVlw_3pUZNYSYg+c9M4A&!06^~JLC!L|c2 zMr%b!x7icq*g5mM2v&n#*z!@1H}iZp>c*B;*KAaj6J~({{T#lJUDU(WKfbEot?mmYjHvZXvopaG#zK&8mc`CvB=EfpbJM z6XUDmGTBKi&uzr(-_A|3ChB?@ACumAtvI4v)2!kzrMsaZ-N0gYb!)aTlr&G;3ew9r zpCTh*YVM)tO|1^y10^^!n^*WPCX-x&blSzk50%YVc8ndYK?-V{D}xNC3?ieV3Q)0S=8#P&%u`?_Yw8Avzv zWM{RT2z-g};0cOYa_mZ>1znf2OqG7`+e3>GtXLcgu+rk2=G~mL}YcEjzp}4pnY$glxnS8@_^0ews-RQ{}^EZ&Vip z{abWc)<)7cCww?`G`#lh!XZReju{CjSB-HVVbfCrQ7TuK!<(SReU6#yG%ABq-g&CY zOcUB11I$j=Hs`?Enz&bhkv!hSAFfAjgmt{he*ZXX`z`e2^G>5D@fMin3ru4Rs)Zq) zN#^}&H2kf{iMdVwoP^hf8K`JJM9U#UIm^F({%+hXhqHIPNjqJ)R}+dJ{63LEykF6-MzNX(&M0!P zVf$e$0n(_a0&d@W)No#Emo0a9(Rn?BI<43`kb0I?#1&fGRB$sLUcYF=C4%u+ZhNS4 zqP}YwIN!$gG@WEze_mCP@AiHTf6!7t(FaS|gdQ*8KF?>F!^+8taBPQM=zWoa?9P6>e`^OMP|y^|)G9z)N!WLBM0(wA`RN^DV^}g74U5*-Q@@ zNJ?w2YYjPa)i2B5qw`;YcSk(QY!pfu<^Gj_{gC*vmxohugnzHQ!2#j<8>x|Xt<|@? zU5%mTEXfOj;yh<32{vYFVYNz&rFEL3lqV$6ld0*b?~_xUWtAJ7I`)@~>}R@~PWgww z^5TzHG}V>KvVP=AN!gEnxD$3BMOyf29LrX`|EQMf7q7s(#QkTaQd3)Rgk*Y6b%td} z2-TIdQF{^VYBdf)TiVa_w5>|Std`7cQ=)ESzh*u`M&BVEWBc*yM$@Gc??oCN=)6g5lY8bJ zW**4JJRL;m{J?#;$b812BR}})W@1Q-;y)*A|6_yD|0!9^{kLSTu!H`m{iwEwvy-rt)L-!^yrM_beX(1iG}RQBHzg#WEw=>M)68^7tQ?T-In zbYuIUQ<1F9uee|Ry*PSv>b{baNpk64a$NFu@^p67cJgmsr#|=cL{h1t+)sf6)bhB} zpXb9X27YF3{UpR?|Me5o*KH_}oT#cg_!FVX!W-d`Z_mHcUOc?>ZHL!SMA^ei{v)}h zICgO3KPnLvN8FddfP^bI5LP7bZ=d zu7|5%zC6kcxC1VtevB?A))p8T7(fz8m6ePEfou#+PSWF--@kuf0qYLLdzhA*+WC0g zL^heP#-KGwBp9DTyLM=FRF&T9ugkwiL@dnCmcH)@uc)Z_@#Br4prD(Z8yQ(ju^1`0 z?V=F`LNDRz*|;`AtE8fWQY9fRjYr18!4cGI;o{ao*s@}5;ahv{;&yie@_ zy7wKG>>a<4Ix(+f;n4HglBEDw zk^TtHc&k6{twNYyBWV0aL`0OC{KMVI#KgqdSOTmVQEn0j_J9eB0r4)A*MvYm_u!b< zop&b%?BnO5PB>*^4QGhbIx z(ej?v2uji?ns7%_2&AI?5Mdn6Zc*nj!_TaW_zHpa{}?ncz)sM;C|5?p3AqbtHdGUX zu}|~I?2D**HhfkDH(PFvhZe}u3f4wm$H4Tn$?iiS425~{EtIVXD@j@q@3YcQ1pY|7 zdqh`^XN2*$KZDYOL3QO!s;*>QpH!)#Lf&-;nHTK2SWi>zC~fl~L%w_&9#t8$X4s&j zyE3x%OYi!12!X_UGYqLLEVY~n)VK52Kp^+WWMIVcn&|mPB}svtzurM0ruw{S}+%(#$zBG`R4mXH3Xtq+Jiu^yJHvNA}qQ%!e&#;3TbY)fWwIn zWT<#Zj3U64ZN{Bp?ANZWjhXOq@0mvs@6g<$FFJ_Qqvfk9As3X(WUy5k@U8R%=(Ul9 z_xC0S2xJKUyf62MQ-k6|za>sKyY&In1x%H1-YddDz>8+kH)He_7$ z+qw48VEb_Dxi1d#+({AwiOxhS>%UcKM|^$v22=} z!+H0tE#!=|hRPw37lxLV%G8g+3tNqhjE0AYJ4qcU%2E+f;g#V_BTY3|v$rC?FGDaP z%#ZB~w$^^g#zhHeyNr{-4AQSY|Ds?F1 z!Ol(zzc=z48nvba>0p>o!Szfy{hRq=OgUlG1Pr&w_%_IM2o9Ub z%!er{4V|h?R9O*_xw^U@Z;Z+c!>_yx3k&lUa~$~@#?f`ew|`DQx2=>Fy_GTVsPNsW z_i<7xllir^8t#NRIIPaQv-$b?$9KacB6Pj3j(+|6C8KNVQHd8Gv@vteGya@fbzKMo z@i!6Jnc!e&2UCN!g_0PsOiWH{DJni!pcANQvI;c`2Bkk?tKI4t5?0%-5bbrpfEL1e)3xPcNRS2aE{CsOOmWod6 zHu6+^t});zG@@Ei8mxDV zZ)b{^4qweJt69RG>87^yL4u%&zy`$M=ued--aYXH?`FQm++fS3Fov2a75U-zn_h?> zWC)+18(+S3P1I3KDCu^P)(m)mx=5P)LBYqH^T-WfNUCfu1L?+Ac?WTciOnbtWR)F? zq5XKcGubgr&5J=22uCx8yyoimL?&xVod^q7wE!CA@GUGY#`|;UOwB#9MHR{0>Eb|x zb%5Y;XB2(_gT59U!fz<&T>KDhl?~*=+b9*j8tkPp*R*L6uaFlBwusq$2;U=3j#{uW z@p`=If&f<{3mjiJL8ZtH-blMAoR*xvPU80FQu3OFo#*E2(`7GD=*9r-@?^l7Q*pl{ z-_$WktzRyD~i%Y;GtLlHk_<24`aiW2k)M!W!$qQ?kG41iYxCLO+u z-0JG;V$~QqP0773_79xDfz;fAWSR(6GSJc@>YPd@PT-bPJkGm%R#sL}^BQ1JIJ{!E z<`bgi+9_)w7V&`GoaNrqp&hRqH%Up!(dT(ZMrVwbmnH>w{tWeApmK|vpWmZ5k$Y)r zY05Jip^*+OWvD+v1$FhtV~FPaF+w1k9f)o__% z8;?Mf;})W7##56q=H$??5XOMG3i?I&$tWW1m*`R%9X~|#*&G6%pHEw_+-77UBGS6p z5h0t%m9~VDSA>VwU3i&Nq#tL5IUK@&t$_?tX_?d!mywnx;diySuqcc+%q<$W-s^Go z)ct&Wq62Z7Fhc_ae@x<$$;rYcLa4cs=eNNok+k=KQ>b)LLK9+QR_5l?u5Q24cpNlv zkv@6`_#7I9Ft}z|gTwR*s#)z;gaM)K{C~F#SXCJ zh3J)jHQYoxdR0l%wn%DtP>cgMsFl6FPDmmz|D96wA^c@=O4Y<5gWKEsAbxaT8Azvk ziDrR0zD|+TjI9~-(Ev7DTm-0Yvx2;)+P#@YR$$uoYH}Iq+tWv+ZSfL$kedhPG}XQ= zgHNa+8Kecl_ecD|8HZu-y|IF6C1$YjP&0lE%jANL3v< z&DD(+^E*DT3V@(`Zw;2gTU%R!7eMii%=0v@uI{4I<3iZvWP7U4K^nroFH@^mZ$$~FbI*( zgzu8`G?;p}SP>%isXSB9Jbo!?T=ykkEO=8werZ^a{AWIe2 z7D8MFwlq`~avy_$akAd!mAR%`Eg)cCYfp1$wTvL5sj4vGTTw~Hmf9?c%F4=uGsC5l zp$Bf}_wUarDSySjas(&-g4Lx9AmR3h8eqwq5vy*-qtdO(3NACwoe+qU>giD$w&#k@PSR^I=+AlfPvJw-y%<5#}XV zmA(;FvP5>jdUF}1jE}0GfE&{0f8C6F3KyH3?Q04MWT;_4o_q~le-b&{1z zxz;m)%Ji{1mEa9hT-LMRENuh#EB)54Y~HqOmAt6&0S4sIU#Ifu_*m!Ld-1n#eOahn z`Jfo$rjG6Ww+adVI83J!jLQ$0*;tt&5ZMH93j6#KThB{`V9%m>;x1EEPPHXzVt~5g ziB*u2IjtBr+)a-%MMzaJ1MggkB3+1c6l_I814$?^U^ z)XZ$naY74#qCHd7u^8D|oNb8-BszVk@@l_}X|zO_sdKDN{5@-2LBYo1+V7K{8774~ z*aTcv$K@ptPwmFnA$()-w6616)}i)?8UTc;&l{i#*SSA`3KI~-e7K7Wj<8~-y5Ahqb1xOrAcj6yl`XFN%cgKEBN}4))XVaWu)yQ4vi$|wI2z}5j7i6BW1rK0o{6Y&v z;sx`C{6bsU=!S=8*`k!B;6e7n8&2TrSffg+4l!cw25KQ%)o#BuR;h zIv{G*5kVEav{I;E4uwMh(hwDL0LCB;($uI)56)CdXvQwwh;R2h{oA(z%I}aU}aYfMW~mtsdc;8XD*r7_F*!1O!eEd~Nq~845eHJ`OU> z7{!bpcnx~9|1N+7g$16dFDe#LgObE5=kKe}%f%V>{0{SP<5^By zh~c#ka4N9L>arc)?KMD5gG5$_6F<}IMQAm50@V5XT$KT(dQMv20_YWZ)(sd zY~6|&dC>v~{DMS;{abT)=U;ZqTVSpA2p$e-4f}u#I}n5IUvnAsXeRI4 z25bi@QFCcODdlS@fVsQZM?^$m|GLF-hKnM}{t1%^O;biac~{kmv9U3mgt0f#GU9|xcKz~-u3kwWy4d*>kl^lGq<&U^phfSrm;(g$%T?C4j_rzTwjdcZ6ZtD2es zGf+_jOp;xe!ATj2Ux3(eb!~0ul5Ga&7$y{BHC=|1Y#EzoxSt-4>dHz= z*4Zuv%V;8@04t;Dy}OEOCr3-WeP$fEy^&@z9Ze{P?kg;CL`g z5(Fr4me>bBYRhZBW}3-Tmf|OMVri`SQl10(w5qHLLgcHfro$PPczwWG0wHZevmeE| z4E-2@c-+-)$x6OcD(^ace04ITTWfD7EiG+rU7A~@kVntJKqBDIr3yicp6}?}F02oK zcJ$c0XP^Kc5)xunzxOMV+wQ;Ac(_1SHjdQ@;FyRAZhC~nI#5e zZ_t=YJo?1(zdJZOjwD9YUr$$>#|(&WU{@laZ|jbtv;zO3L+XU|kzSXpO#@6?I;5)V z1b9>>S~Y|H{d$QtfG~Rt0eBdPHAQG!4%YpKz{O_}+Y?o?h0qlD{SK;R=X9@|>-oK< zu9y%G?Gqr>)XE`Px&rQ2Ka;5e+bK-cspNOrUkt>4&S5cT4dQL#;o*Qw0`_>aG1}MG z*7is9ky_3ZR0rXjCrzK1leC)Efa(L&2)7s?+%q8ado#DTMswbU_u5;etH|QKE$r&p ze-W@ky(DlQATIoTd_4Rv7sp#5W+boKjGh=od%l8qE#5lmjLyv503{|SzF1DMQc+T> z5R6Djpu>4eYB?ymoX4QYry9|9T~EVSq~wE3jzpKO3cGvf&hgfSiItUL-tf;)xGApd zdGZ;eW&-XT|xL%46%pKv15uuQg2Y>3m&3PGCGw|Kj-ib7*YYjS4}&%dh$)rN^n`USP6oMhX)wny5AA<^72FY zr6j>jnb#ND{e!i};E?G*=~OlVgsyiv00BNkN=IK`3bX#3cY)%48kunK3K?Pi;)c$N zYH!lRqOE}pF<^T+vW7FoBiN`U%mfly3`Hd*f>;7zZ(&GwyCvqn(@kIU<*09$1#ul# z$viGk2JZU-upAzVIra5?)YR1EflW+W)%{!g-~`B&L-uFfaemfxdOOw*alCxFrgECi540tyN#^ly)j4jx%OhL_ z736?6H#Z;H%Itr_r84eIp4y889u82B5@4u)e@%y1Sfxd=DykddBfA57w5aRs& z+;dc@iiztkbA#2qB&Swiyd!w(MSr8wEiyP*lFXdfZdqzi+SSGhqs+9&N?Bc9$+Pu>lA!&draDXaX1WgVIJZuA_wzmHxcZW&k9SovfOY1-xjX>4 zxZaB3;OC#p8XhkJi-cUX^1N=nMfF=1-d8vn5TBu;8%&l|*<0cKa5w*kGy{&{15v-(_cXAB3N zL}rd8Ok@hhDF;9iIJ~iMMv^l#6?nFGc5R=ZJRhksP)N7-mzi!6H5|$+chHE17lYl`(NduJF zxdG{?*FPz^>7x?rsSm**9yvLINrxfs;IB9f&qW&A#NbGn8zq&bqFNwTBI~hsF=lgb zhDN%gzrHhs?@hx^5}aViQF`7#)XG+f3XuV2e4ZtcNyp2J?D=OM6aZqF5kAYxL zDKcQ4YR=aUmm0TUr;a*RJ!&W@D8S8QKNJoVgCR|nwJ@1P$%=}q`vP(DNH>JFGQ}Yw zA#I&}mXMK2W-|*1o+Q9^H-z7aGg!GI5gEMujMipxMIO+C!{pGC7s1UTr2HDV;H^*y zpt&HsP^493J5s0)LPA)ie9WzdGZ}DiOxhyz>qFz?tb2+#u2_U08E^A2Pxzd7lX#sT zqx+p&A1wD|h=v3O2jhknvfyV0^1V^V|Cl5EGPgNq=04Y%#vJnx5n6--b6d48m0O0gRQ}jqYb4;lUo( z)zt;UA}4!oWK~`xQ&S!wP;e_|9@Z>dS#NJ`Pk$7+8rT3yriRS5wJ18(bKt#Rix#P^ zhd$-L#rk*i1m<@fQI{n6k$0T|A_5K#+$xH^)keRti|3Sd0^$3;F zqyJ$pgLmkDK>`R&r&jw*+v+#kH`Vz`Q5F;yZaVV@A0FD{mO$CTMa9wX5ghJL@6AXO z7JocFI@eLaNryvf@eWdtDUgxp{1ki`a`}U6@(2;=LfU(Ao>Z8 z^YZlxzcuK2(OHLt*I%%K0AVfQzJPtOT_4J0VPOG*=I~A7e!z}WgGoHO zZ+5@xy71rBcpuuk&%o2u=Rph^NU{4`R*b;eVg&FFz|#xFUbWjGo6d92$7OX8vbhv9 z2<#SIrldSGQo_j2uDpF4Scl&wx=nr<1S2C7rAIHo=)8Mm#Ynu{_13c>Bhj3Fw4M0A zMvD{&=bPu%Ik1m?iQKQ)*oM$h)I{5}m_EP7N7XN|J}v6|b+2$ywg zLqkJTQxoY)9M)!uC~Frb!xzZzhNfLiF>G#ai5=_pCG(>Yze5EQmUc=R zb8{tSD;F&u^I&9UQ++s=C0~Y?~H<=4h`f^6tIuaHEik2yDZ|Cb?NgO52 zkj_XHvRqv$o0*}c?6G|NHgxmR<3h>7LGaRyW0I6$Qvw3{ zQERTgp;^@lGF8DZpuBGI_0c93kAog8+3oQR*OeqP@PtFIfq_y0Rsq~fHHj~emR_mJ zls?%^_e{V!H7H1d3?DnKvqxDlA~F*lQ#mWAK;TB}>LqJjK3v6NJMp|tM6Ajq>h$5> zUjDI%uCK3he0k9RfpQE}0T~&8zGd#|vTaRgpX?P7uASvpm^3Wx>Dsa`RW^>Gs(V!I zvzHd>dlbMxUH&m6`KW?20C4`heZ>8@Y)XT@|xpal9#lHhT5+-i=6_d;%T0v(wyZ=eJk3Cn-0D zwKf?CfnsgmVxQa{`sP8;pdx+PQ~;?oGi^dQ>Y)!F$t2u`5MRu$dZ(*qD(9b}Y1P=hRR zhV(PA>CDL!?~QjE`=IHc!oo$lp_ z2|zENUvm>t6t)Buu)Z1&6TDIyE=gIRZh*^vniISz+_JGK%=hv-f@%yMH(g5}9Z#$u z6e8A6C2SoWjw;PZMZ-w>JugoIqw0-kHwPBym2tN; zpA|Wj(x7@-;r4OJSM7l`Or%R#zoB7RBtbyCfjlJmA`PA%o-RCJPT`mymM#{58nl1C z;*~ErL_f+id|b1Fka-{@t$fuYSP`Xkx}iH$c-IQrGL!SJ$h3Qe4;T*0r|6vQQm zTB!W}t2pmFKaCvxO0M8Q3Lo#g4)BM{!mT`@8m(InMd%AlC*N~LH1hj3O^an~##BOb z;UwWZCPp;eA|38E8>pFj5IElpOz7gjU~}EFf)s0xkpz^OhI3aUf{HTP(G}W zgtN_IV(lEA-o2WRMt5^@Dbi^;-zaI)sj{2`^!C=d^g0pxP=O5LAG6Ut?D*6z)+)`a z-nRh)1knJiDagdaRNQOC%j^C9bYU5wooVqY z>noC2MS2Bf8K~tlVVxzGF^z*2m8J#L==3#&!btA*oxp`~KWX09$bCGHBD3jfE@#31Zf(p$0 z^6$w&bVj)wA2l9sX}RyPp~Fu zC>kEws&yqbfk0@es*VCW1zt9(rlBDd&t?XK7RJWLk}-@$C8H-*#P2jA{pB}hM*}T) zJiJ0VKjscbhwr7_DE-tjNS~LrM1(%g03KZ+E}it+!QM z^(_BjhI`LF_nbZ*e*NoaWo1^cmLolxwPuUM`r+i@&b*DDh6Wh%R7YsXGFoj1(9`Pb z>ebgdD3pkhkO#mC!~e7Te3w|i_wAnppH`LC}Mcx#VbzZVb8@aWkMWe%qHs??Ql| zzb1E0A7(@P_4w1l=@RAsflFR!%hZrj@h4qN=g)e1E7_j!M>1?1Y&mN`)Sz97`tSHW ztVur;aU57HxjQ!)zkAhFShvvM$l+>5sX)~&?q3IPN&$U4=(R>yz=&$9KYWO?tW*Ef zT$ZP%ufGCB#n1lc+r?#NWw@Qv+Su6{)Z)wGMqGGhSr=;B5nOiu65p}>7yvKax>W^{ zr2_l3JyYr(5gw>ky{mT>JMPp(UGr$iHb^hq~u9mk;0eU43I>-!#l0|_AB($W$Z>#!4jhkM}Huj9R$NTB)n`}+DyW<+2; zH)h*d6ytjgJZQ`?sAE4VnmueeeJ;E(h>JyGJ-`YJbV&xZj$9>&q zF=S+9HhJ=Y7a;W(a+SO1$PHXScJ{M-cy&)W#y@$v0vvB;#l~wdDUe%Q?DiM8eI>B)SWSu;gpoE=xhA#!-hkYkR@xN~H}u zz=n^#q$cX|)3k5x5lhw!tY-KXj3%=2VHk)l@L&i(Kg{FCpFM^7LM3uuT@ywhn1Uxy z#C?)8rX{X6nWR;w!wrQY4)tJgz;g)w;*ljGN>f8*v+Pt5Q}V?GO}hJCl*U%pgOy;L zIJA1oPK;*I#*V9b)oGaL>#|dweZgo*RYTv9$K7XCeS=e~H!6gQ#zN|Z9r8P!WZyV6 zHs)s(*K9{B*+?PP^3!XirKJIK`yCH}&BvM=EfqbL?v8wwgfwxz!us*1fQ&&&&-Eqd zUt3MG9he(qELX{ljxQzcTsOq4;hmfPbWknmBY=IJL(|ZATCt=*2wPs2RMlTZc>lpR z2PxU+812Z-#PqHJQcb*?WlAbL9@3j-bxXneD2=~_AKVn>z1(#!dndrpJmS16%S7y4 zPfBtOW%OWGwkF?mtS_pSpDEHP?!B{olTLE*KtZQT;V|?2nSqxpPja^$<|vHq6XRK} zCe3=rQndr!-5xy9HF}i3f!Q3OA0-4~Va>G|3}9v6;>Z+jxWruW-b~`KPtgr$$u^9dWc=<%ng^%1Wa&O~Z!t5~Ezdhhs!->ggdbyzgn^ zdCR#Hef`d%rLHaCQDT>MtOKJT-`nPZ`AtfwllU@Jx$d^j)BZ?#iZ{rw5gMCZ^fa?9 zW%t6fBU$@``dOlKgcu92`^u=H&BK?bEZgfvXL@@Z2zxS$#46}B(bhf;T+&tUcb~`) zYE~M&@-h2@@13+VRK3;Wb$+-YusZ8IRm*CXAmwk5Q7-$LX>SVn;n_PG^z$0+c2Szq zXaUMh+DH#cl*_AcoS92ie@5oov)IcKwf&ZL3=e7r?Oxy~*WCN_=T9gJ-C)f&0Lye7 zUf$j=L&f>rk5j3*o;Hh*a&U9oLt1^wCQKy;uNi?dY&-0qPgK@trV{WvTpm*&g@mH^ z*kFy&w;!K^k?rh;qwN$yZwdgG9Q^29sV*fIh(@EmKQMw~x^0inuX|KBJJ;-gD-Jl2JgP z5GyO|BXsx;;T=hPz%2?sBqSu{=Pv+!-*|`>)0Nm>wusu;*nrG0gbiRGDxZzq0g6|6 z;T!OO&em?3c17Ry(_g#s^36$(y}Ejz*xDz4=jr=;kDonzc92nX^))V5lz05L5{SsH zuNO^TuZY7Kopr{k)%Cf-SDnWiOitWqRhJfU)cQ7nv*SK>Y_m6vu(dg!wIM>tw#>9^ zb$qdDW9cmCCH!8NVZ#}x01>baYq5XXMX*a!bX}+F)xUqNIIu@(3fUY>DioELc7Fdp z4>bBu+hCVMiD{{il5Ik(&Ie{$Aon(#*4_m4MnrVDH5~J`fs?dEW|$^3dZt;mYWXvN zZAysD(3#-g)2g^O^HH9y{+^zwxVT(@YC>9R+%@<87Ei}wZy%cH^-$p9ZSmW)%L4~h z3&{O?dOpVo+jc``zdn$;uy-r)+4SXrk;`B7;cvdc z<)JlvGr`O?d-w;OV!h-ANG+7=R@(vuRpl~Jpe7eVlNEwSuJu)EBQ-T);(=E#yx~0^ zfB7hRi4dL~ikHiM-VPtV5j^d3^*VS&Ip_KLE3@Sy+bM=dJ+INwl%&}1yny|kS^x0C z8M#+^P{WhOc}7$?vnox(&l@E02_XKCSPTk)iQx|>D+6JGs9(5z`Im`7KnUDtX1XnV z@HYGUG>?8qbK>P&_8RKytdEmt%LM$7Jas23t-3)>W>#0ndwZv5XUR+JbKdjdB%(D{ zp-g|*^?6S@uH-(bkc7Z=ZPnL?9g4l)<=nW z+t-AWqf;p%t*6W+bM){Co1dQt_YA_;r5EkqQgZ;$$t{b*2xtS5IBXvImJfsicD#Y^7{cGBO-3 zEUJMX(T;9zmU47lD{nk_b0=ZGroM!U4mXjp>XOl1D%Y#&Gt<)F&7^F^07M7a)j3v~ zixQV)>+V)zi|aoFlAZV4_*oJvps1%h@H1a#6)hCJz$x_Pe8AWXY9m+um=+xYOG);3 zKNn*`<+}83PZ}GA+W|-ho*W-~agJj!_r%4k4L(Rw;f}WG(rEplA+HlEna%CN@6t=R zaL4&)XD2Wf)wHy<3=9l_0S@pkP%`8mK3uAZ@Ws8O;2f{0?|X&z6j4}LylZPSuEN4PNDi@eX||CJs#p^J()a(b9Zw4`XT+vD+=JUm&A>)w`TK^1B+A6!9=GH(RXf z;Ywt)ATA(4WRu6}%xq~Wn_lFJu_OI=1s8pun*e-5{QKm%cQWf2%_1|@k^|z5cxz~H zH}vaAHBq85A_)E>&tjDY&|MmOXrR@xk`L zDXIhMzCO1iz>{S-9V*g!SkK`mo~#uzzZS-YdZ)(i_CVk8UZHbjw7+`dR#z&!boUK1RC4Wm^&f(> zxPb*?+Ps&>>QAPxfZ)A-u4<#FrR4!t5r6}3KaPuwd;a{n-vI8FGF2iZ=V%lQ;FQXy z(A%V>q@b;P86im3{cV5s^?hY!Wk5LP<>h-laN063-9o!M{NkhVfI()r2QN9q^X-A) z=j5~wFnD%$wwH}!3NFoQX6Cv!A&$Eq&jqcsS8L#`$(<&nZNAv+I;xPXE-YM|nwr|x zrEECbmq#n+VQy*J$BMh!q<*7r@Ytg_W>Y1&td*2$V7G=mfm%|Y74j6X)JkUuRrod^-%Cx z%WnDT;U+h=xEMc@-bU>%W!H4sTUjr83LhW;;PCKpe;;U}_9GP`@rA@yg^i68w{E?% zrv_pJL{K*S09V5?|3rY>x@(y=UDz~}ZtUzJ7#rD~g(`bHJH`(@1Pi6l<_GYEmwRLKTt z5`KMRm?mOT=BP3+K!7q%#e&W?xY*jH#Qk;<#Y47+Z%wet>f-%c1nFz1b`5;m(8=GKe%HU zn62!RoNg-&AFhME_u}jX z;^7Plws#?b?<+qC6Ygab&sjZLd+mAiv_u}Bh4VrXOtBi{J3k)xPAeDoQ0)I(#<$&b zKdD2BM5y|UQ<(9isc?IFTPtOa^75o3X?~LwZuOmgCfVB9D((-9{#(VmUsWEkJX{jH zv)F#`tfhZqq_O@ zth_#0ri|_|+_cE5Hgp?R-klJqUA=AJ-`@}1LoZH12Z4I?0yi&DAB)Gd zrcO`Hh|0{q^6dTa_}0> z#(yK(8+NO*GRq9|yWgKyadUCGxw~J!bZIuX^bs=vYwAu;PTJbqpdIb)OF=c(*4930 zm)yo#TLiw^Q^O#+#DZMe&>tMjBKGW};7~=@3o!pDyvG24DQ+>b3~Wo04%?zTDqI6U$-ZmrYm6Ac^om7S+I z=RbQ(8RXl0dF^xO{`{$g^|rU+jDF;S#faFC3UG8E8ta_L?O{D@+;-h0&jB33A*xk^ zEPuyhK6~)3aD^A8dfJ+rzkc`u9tGuESmYa?+@@7Wm+jOV(~oz7YYK@G1_l#IwKFg< zR2|K>k&V8Ki?b+o1GbMw6Cnx78g`y8CLA}dhC-WF1s)qi*M{HrH}7}bC{}6%C5@#4 zg+}+YzA<29W1C<#GBVmW{-=M^S;QT02COH%B|Kp#J-oOej}JODKejDSu}j=5NDQYB z5csoe=;>lz(cC+op&Pb1zXx%Rw0#7;3Yh0X02NLgn{On;#WU_aHun_mqsDHt?6N-N6ZAV6E_~^~)I*5#HQ8;d;0?M>s~h2yvC0 zU<-d7W((-c{8JdWUrmf9xUtoqcx4Bpui_T+c3qi-mTzo|;lz90Al!6%&L3{7oXlVO z(b$H2E5u@Jp4d0BFZX@uByjSISX8!?+YQj8+eZ?R;AR`BOiQB3TVzXKPk9zMKw#GS z4Cb?N9W_osrG{|&6j6_J)DS&_|86M5DIJ8{W!&R4?QpY482o#u{~z2E-VNdtQuabx z?}PF49?jeOfG2zXoet@#zO4@dEL53;_vh4^Dx$H-ag7JBzXm8@#l>N@01{Rf6fA=6 zg%s^5_EpvD7(mdtfq(>kwVv=L=dD`>!17+yy;cV7K_C)l*_qUVv%&2~aVbI7<^~y= znWLl8)2FQlz*s@~?r%UGa92d+afXE7SPjm9I&OS42EOFs%c|A0eKn5%@geiHiX!Y= zR#sLR6>kl|vz?uqrH(c>N#Z^`K*27M0;K^|EEH-Vveni}yBGemAr^4KFi<)mt9<44 z{ZBYY!e{+Ao<*5$cvx5m1S^0?c#*uQTD|e_u@dzE<^Uf^4phbJrhZ4+YieqWTTd-5all)S0+$LFWNKr38;Z)V)>FP*2KoWO4j?%% z?I5m|(f;fNKAck2ntgSyy^hWZ{CcQVPZ&7z2567!nwp|XVLraU*WiRi^$iT7K#zNUpe2sp-N=8j>?U3iwJMg;# zHzYDFPETn+!^5|(zScJ|0AhsCHoq|pE=3145nxDI15RUR#{X>iHvFLL z;p!U88FZI}!}d>KE`;(ak^v{+(bYa1QPG~HESm74~?M_Ef*RUjsIoV&x01g_o4=DNj&Vm(`-Gi|| z(SVTy)zRWkQhKrZT?Iu&5J^u?D6;6vkZiK*PKO%c;*5^*994~b{zr+m6~K&G?9Cp~ zo~(E8Fh{1x$5W2V7sR5FYd3jI8JU<0ouSHOba0UM$@YQNh>4X|t;<|HbQPcj^7q8V z36ODQv=uzJG7_VrjP30kpdtc$5ET^#{UP@E_Es<`NC?E72z-`!PMx2ZX9>knbOA@s z78bd-Ebi%5-vQG3^2Ka_V=lx0z{b|L=z}H&q5A~^4_v*Sy82Z|GWVDP#kWwk4J76~ zFIv)3P3u%4h{6T!2Azibe+Q46gPnsy5J+zvL(>RrVX~r_Gw*i*&^T3+udaZzTE7TK zt4B5X?KjP%4kEAKU{g}{2|libtC4x^M*R4OS#;oBMNg#t`WI8Fzc z`j%f$u2sdtaRxR~L<*RpVGyXv$&9qSAtxZPwLk=>&%aHfrKZ-aZR9rxEhPBtfd$nB zy9$+2iI$^%5ze!%(O#SLYD`Z3@jGOrX*`CXT3?n6=x5bVK$nY<;=J{CeQ@2RTpp5gTGmO#y`TIflQ zer#jI=`K+vzj&~*I$FIv=Gdf}7W?L9f`COaq-bljT0pE1r^9%y^&gAf6SlW`A}`Lo zdt|`F!}CeQ{kr(lB_P&&Z!c-u53o$ab2=vE)F94oOHbZD+B#E#+loKeS$+k0~AY!Y$EnPR>@b2n0pt1nt zYA(;-(sDkO&i~rYn_YeJ6MlwG!lR-ilvT#hHpfZPFE zw!ig9gQ2KUQ%j4oa|rZ1jMM;d7*zkCLKX_7)!1I4>V|87{7INik)mB4i;bgEwQU@! zJNYF+qR#OG!CUNgHXTCFlZU`OtF-K#Hq;v-S0#FNpV5|%I=&!(6}B}V8ifoGTUXd9 zx{l4yTiUW1jzawE4+|;KWAM@R{I(1JoBd#XlwYMP!Dj(%iF@QMI zAE)Q%9|qM!pA@`ejtdiuFIp0IXsF-6y&)Xoa}9BM;d=z_4S?=;33oycf?Hc#q18ZQ zN(u>`gfCRP0O^>tULF5=Ld^i5`tIVw!Vhj)GQFjHAq8pcu-0J@L-1TnFNJ!K z#wR57uLrRrtDaTZbgcKqpX7MvruTpQ#v&?r-D$o{hpLdig}PNaJu_2i0o-H&G@s~=u$)y+zsGKT`PVThCIPZ0uT9p~ zSpoC+&si=fokQI%&zj2RzFe8WrBmUv>)?kino|*#+`aXZ2f-0RC zPku_ls-}zkym;|q_)cGZLe0C%`1p7XasSwu50sj;40I!4+AqtAD7k>zn-k@?F?(?v zIySvy&GRz9<9 z#6AC=%Y7{0LC%PYyi*_y#9^v}7iT~|p?VT5}^%+>!f}aQPPU4)`Xb-pVIp?zR84z6B+}mkVw+VR~Gy{dH=&& z2|Mb}ojb$CvZsArva$M_*P|Z^K(4c{?(S4ko1Er!Zs~o-BoSBEhAZd(O{`Sk>%#Tb ziK1+*jXA*n#V0}fWLfDop!5gWxQrSxJ;chS=Xk+l+m$=7j8?<(=3r)K=I7Vwu_crh zw=bl6-$s2X7^a%PtX=>b@IZ!iajuPQYz?!j_l>Cc}( zcd+9=pxEeh0W(e>vxieWX41a%sgy47y;1%#(-swP+J4>4D@|bnzdr_&DOx35V_BIkS zBhs?$2a_dbWt8>mXCU#r;UXwNAI%wA0OoQJ>PDb!i`VgZhsRgM9#KA+A3R#ule6`_IM46r2~aelox_w1*oqoc|u zdH+3cW}vP$_yG%;TN|qjDsEt3)o68k`YJWGt!)B(el)&V$ayLjULV(9uGjVSz}kak zHpG}gw4tisfaR*R-f(JJptrcVc)QT39&eFgTSg%7TZ$r+E#;CgWGpTVDFi?0WTXu1 zz5CyL9RJxT)?(;sEoqe%t&m$gA3nCn$y;*?Mtx@SadExlu`w}Fd!(sipswx${0}G&?K{%mw%Gw` zTfDNgylnISv5JAJYUbzw1_OZL<8GIQE?P|%aK$dsDUJa>Aw9+!sQ4g1lBY-fV{VH* z4DCjel1(5=y1KgRN84Ll(7Fn091j7t1ZUs~tmCcg|B=KfR5CXH{r*ANGkbgXhpZQ+iKIF*K79h!GqppsQ&6b`UIFc6yiAuSmCqT2h3hjTL_9!wKPA8okdq!nfMS_Tk$TC z+>5*%G*9mD?CU_vDJ@L{XC3@B9lm5@jSYYyVsTn8`&m*S4$g&sF;z+=cc8Qf3Kl*t z8}zw09AY8KG?6PX+2A7_BGG9w*S_4NMyV0ezmcn$aH~#7^P&Y$NJXImwAVqryk&1A z297!ql8dHGs;lGP^NWe4W*Ecsd3IemUnL0g28me+vSI256 z15W+wna1u{jspLq4g%|bwNdb2Q%m#L#bLb`G6Ix4w9E9bL#^SrFseV!!`V~o@aESz z1oF7gj|5*NgQi<1BYK{mcNO)LLn~s)U!6aH9?Dp+KMsb>5_J1=0GDBTxueEbQID__ zDmtU|!l7OTg0QOES`DBSohumBa1s*{`N)B)ulL{Tm2>-{B6&P8HirK6i6+5_01?O_ zZ8qBX=~qjODfpHY4QkXCRhq|9Y?6`zARO=fpnw)o7cMfR6r9b#nVT*sa;%1)IMw$V zv#NkJpK05`VL#U%S(tIp%LVXk-F@)WdJe!(7_T9QaK`v|9vrSx+nPFXrJ;+4476F3 zWanI)Y${K$f%Zc_PylV5KGzHm3@9bt3Bfv8 zS;;*wR?vg+?|#3Px9F%X=*-F|LXN-UYXlH=Zhx+Z6IfF!A(O57G>q+2s(uYZ!#-^e|-P6h_3g(9q^MD{~iW>APW)u_2J{k zD?j9LF$g3kuxf`+xci@(T+5U+FK%cZdJ(|M0iY zR>r-Y$o_m@_kHwim~XZ;Zm${@S-cpl3TQP zS5#5t-d@w-X^*e}6+UoKq-X2i-32ghAS)Xic&YdJ_@w=jDE&w}Ngy!>C@3hny7KSy zkGc{-S^+Yx&}3r*D9P2jk58W5B8Q@H`X-XgmsyyZqpm*m!DrCdDy}Xo!+s2fE>qUs zf%Ru1EkWyCn{P`uzg62LhTcijb-?z@nVrKjl=%%X~VzP*;8@Nl6I{J!xELzF*#*gJLm=o1)X4Gs}TcTx@h~YiO80 zG-n=7-+|a4vaCc=k-XTCy(Cq}(i9tzWYK2#?$N0V!Z)#V9!ek~9le`jt^-O(Nl6K+ zvG+kswpK&z4Y(1Taw>g_NM-i|7W|)|qvL=RadL3H-)=(ao|(f!RWzd;FY=K>UEaUQ~s!6NM{9o{(X|h z<$fpWtqqlqH4!1^g(o-=K2ow?T zr`!De{9?6uxZ>fcD{Z{y7x$+~0`5vk-28S*{OEF%(5xC@%_c$%u| z!&5G-Bv|=JLeJ!x<*|mof4{Z<6hdS-HAyltW#{C~LR#N2g(4lrWak%fdN>I^V#c+j z8QM6Z=+KpX{@Q!yU<|-tE6YZw^*%%`w$(A8p}a#mo^zJ?Jqssin0fffH51SIh@i*{X+maJMC=~vg!5jnr6f2&Rf&ya`nzy8|5b(CwTLFmaQNZot z`2GHEoGIMXI(Tc-pO$?BlsRUs(!1eg=~ppeash{{wYatNx3RYF`Qw)3Pe4oq{$a}- z3eFEKL^RRoe?AkMnc*NMzBmqi=Xj7ds4)cNQYT5f`Tm!!xMJ%Hg%o8M@Q}O9i6B|> zv&$l?+ zEKjTnkGh^i(7yt?10J|23yTH7tpFau3UF46eH??(zU&O*Yci0V$}xb|?Eca=DlIQ( zyHWWtn~$CS^;V}VB1W3UsRqCq>uwn?l+%<9a89ch7%ib$Amqm%`0$uM1RzTr3uR3> zRIqXc6JS{H_Gczia)-tkP*racg5Q?&;RQs zEIqNkp!7(3W)IuA(wjd0mLmAHcawthX;dx|k_yRCMT9yV_%s-U7kHl@iJ-sIN{e`O z&V>MR_4)t&6@x0a>Y-lPD5=|RMBw^kJsK7KEolO>TgX+W?^|rPjkT8$q%SZYt5X%v zGlMQBpiliWU#@YVMFjumr5ejlL6_UJ-w+jGBMPVogXyFQj@pdfZ_JIVg;=ncdL>zQ%GxnPku zD9*)X&mKW(7vUh7u<^sogCu3`FY~$7evXe~@(JF>+gT}V6K^*7ArKJ`wc%;yYOfiP zU*DuUuo3fIMzqxR-qFoXRbS>d8d0ToGtP1q=FN^Pnc+*&zLmdaDK^P|20?mRwm)M~ zV)ytwtKd&wuHY`(kwgm@ImV;8Z4xSd>~FCLz`;0veUMAGjV6lw$@0E^{M|_7^5=f9 z8-h;*yxv2*@-?xfRp0yzh}&WbXtuKlal&X<-5;$P3Dg3Yzw~2^zeb7euCW%+Jgc@< zwYoW=qc!WcnZ^i>-1M=d58pm4o_R92-deT&X#aQ5z=G9hN_lM8uYz>!HGZ3U32g+T z5p-75)77rCBm`RHN2cTQ%&6twuBbRsL)Y_&-(hWOW3v0qo9IU_KaM#N2uefLCTzFV zJ!vdLiZMzI5p`jf7{Po7br$hj>i_gBQbr_etj)o7Q1jj=pN2t*?uU?~#vs~)C^?~f z1d3G$2A=e&)u~W}xb>)Z)9NJXK`rC@`Um@naR(An!g6^gB!ZNB2?a>Lyn;eZ#~k!t zfmRAI)T?vb7{Ez8Gb955@B*lD6dFd2BnnbzppSux7w-xBw^9P$B$Fo?^J`$>_D2PI z`M>q?U%?f(8W)G}lHy*SuSpORI%XUt}W~Uf|cp4mquBj1X)oMh!-la+B zdn6m<&u?RCK&I9H8pU+zxKLGb z`;R?%BP=Rfq+ZzC#Nd0UBOOh(qbXc`X{8o{_`SR~VjvXSv8EZzztiJFHGgXbbvRh| zW)x3+^7R?S2ZG1JsIk;Z+;cCO@*s5{Q^PjO1pd#b_CGnu|M$LV zv6Issc=;de_oCrJJ4uB(*V+3l$Q3dc6neB^N=ywAbvH(Av8a7}GR6*Xw|6la{l(&J5j#-_BD$M40i5(t|68T?-`@4b zeAk>y+nh_9@((|9VHmG~j0c(H4mjWGes=%>wt^Aaw{c>mT!#U}QleK2@bw?CS~PMd z@EEByDHMN7Czz{(f`Z|C_hLsZH2=XYeYV_`m?ngM9SDg>17(g?3vfTfp_tC$qoX5; zy2WUSn^53nZ5xo}gd3j4jbvbr;^gJEGKD@z)9$N1Jw1SAKwzJ$oIi;%F{4B&G8i`I$UEs6 zL*cFhvumbJz>Lt8g(H1*@LwrlZ*Kp=jT@newG8s(!Ab8P!~f0<{kI+cUm2_aldHZ? zRp5bK z_g!{X*fpi`&mcTsHki>6Y}!-(0kWKyj7-bamr%ekK>sOc_qIw9PNJ52u7OctSTsf; z9L~%+fS1|){Os}Z@hmdv^E&i4fu6P%kurW|Uz?4c%?Bdx`|u#nvGre5^k&T#r;>K! z`p))?W2Atur~3KmoYUPBEl&B#jMJlqhzR2D;?{%o??FR@4wzYGVKbv2CSPdi2Pr&M z^zEp_cl6JF{&-FMhG2D&cempAA3u_w=H}%c&@SU5C#_O%@XEkpUiCmPXhKFtao6}x zl1yT8V(WOqVEnbx2I@Kle`Y`?UBu}(;_w#3PYM?T`m5?)27sf3!)JbHWMJT(LM~$y zRPlU>yxOAwO#w{ana0(Nqy*o?Yb5h(6@-w)p#TE%0%Zf7t|K4dgOno|a$?b^pN7*t zi`ho`&(LS4l$wQh;4`P7Gnql56xE_t*-!S*T_AAzq0ETVOYb-6TE^@l4doBbcjgiH^kijCRWjBr`W+T!DT##kDzeL`saMBYu_?LN&ZfwzC zo0ZBI}97^=~?7G9TpD9xa&%EwC_lr|FAr zlH5>4U3!fPY34+Jt@`$H`FRUP>Gd<23kunJ*nQSllF0HjyZJjgU`6s(#w1ELH`Cm{ z?#)N^q^xf8x+gyCD)@O7K_S zc7?y~h%PD<)1UF6;_wqT z8xL+ZFbv(Q>8!iY)XtL7N4~HcKWL$o@JaQGbnFoV#wCWgOXYJ`dXi%z!!_a}?@gcJ1 z)$Cs^Ihp0W$}Dl?uWK8n59YJq^!E0Gwq_?M>?VQ= z7mv?DZRVzBZ?v#4^SGKMXb;k^Z|(RoAW3BzGsGtKh!%Ffv>8As{ZHZJQx`Wi1+>Mm z0PDh8G(#*5N8^zb_cXjwirH^qrh&(ju`f87n!b3g332b*x(B)ey50h%1KJ@PmZnh5 zeJ`0*Y`L;EIN;s!Sv{HHCmv~6W6TL(P@G?)XJ;DQq=!!FAS4HYG4gA0P`QgC0k<5H zn9ku;y|s_D9H9r~c;~)Z=)_rK;nj`2|KtuC`q)qK1IlPl7jlIT#krJTHz{(n5*@*bsB8=g$%K@(gqqucc*>%qZ{47>dxTxGPxw8$3e9n6>DeZ{awkB3IZ4Zv_kcL z2_s*%p9aOF>)W$(hE!#ptMY`~JGrT7nE;XGfRD%4UW;;}J74*b_iddecN^&N-oKb3 z3wOYFX%>ItsS?$1HMnpzU#4lF<9?qN6g4A~-a)O+;AQvgV*N@uxA05l(pq>{W0V-a z%L38Algk$+hLb|F*@)+ueMXWu-Kej}vRJ93f0u+EzWvj=lScCH2`eG}xs9)EDghB^ z1D^Cr+GehAgk$#K1Y!QtUZZc}5w>0m%@ZM3N|&DXB0sqwjT|CA1(->XA+qap=_SZ*K#yxL$!z-AnzRiTh z$VFRXU37m4VLm&nNxgNb4i{fanU=WsA6N4PPvJ2JIl>!ZvmXf|H}B~B{IDueq7I~t zNk}7sDuJ7F137W%?75)Uw1go& z_NwFd(-q}%qBi~=I-&RorBIhc&?u8v*9xAiB!&*dCPF6VX7-UzQq7 zh!A0*Q!5$6RNiizGq@Oak&JT~gAzI$n)&)Q(ZHUUZt+81f`4g=P%{z=zO!YZyNapj z#k4}ddp3SQ)MrNY%D7OqBo%#4LPy&y>t)n9)7?bPVEaYg!;*JvnepA`&E9nd;gdFQ zBWG(zzwbr3XIqr0x0%(>N-fSu%q|DyYW<})3`Xg>>M8GP{JAynK>(55a-12p`su7D8Lo7w1FU&ePs+|VE{^2D)&4mOQC8)Rp z{K1Euk&f(VdNhZ{qqTDHtnU|HGnt>A7)+Sv2H=M4`OXx7OX9X;D!a@8tV9#W0; zT-bjYB^HrQk906EJp2+8UtwraS2-Oy@mBN4@Iy);&V?^TuK9y{M)Gzg*r8A_G3O|> zTF`d()zgewMxXhYW5|5}?eI@MgQh5?yO& zp1;quJ9etJ3}YT8;t_fgD1hU><3n}PJu*B2eM{^g9QP4U}~s^DNhEUg!m zicr3HQ`Z$8x^~(7N&vQ%m(#%`_Se0oT9TID&S9f_hK{Zh<5$uSDoiRwCoZdAYb=;8 z`{2{Erm0OWux1qfx8d`J*{(ls9`5co0@T>-;8d~d3DMg&LQZ1=K`cmypFzC3D8=@; zO~1DyocrhPwxGI*)(|2wo zTW~bEE3IRWgO~Rdu<6*0jBaQ0czH06yS#Kmv7vvA{~)|J^2nV0@H zTHQ{>T%pEupgY$maOB1xzpnv7@LYWR?r%z1xa|P?hN0gtD`?PQ)QR zt`Lbs+&%AgJEe2BW#Vs=2E`{5vIX|z*Y)NM$*y~XnX|j3-#YpX6$kq%1QP=Hu#!k+;h>#Tn?AG+9a<&T@ z;`DOiGu}~!h`za5=k$e*Sqf2Pm5=~qEczS#by|0UA+o^w^9f?#-*(dusr|%3OH}hj z)_W*1eWAPeDBAfZ#7j}!bi4b60h!&j;Nz=h@C3`|A1E|VT2-9i_oYN_3e=|dPg+q} z7d}y*+go8~pT`*33=EKjY!l1xp|UqGF=O(+Au5u z_WpxYIcdzw(atlCI2VqT9kTFaVri_eCP)5>e*=F{H7SJ`?PyaX~Cqhv=k`)11*Dq0%Xr^wn3cNU{NJF3si%_kat+DIyb~g_bGZIqvrw+|PZ{{Y61%3N` zQcb-Q!6+2dIbNIyckfGJ|({^cpq%?R+IcaI=HA4 zzIC+s)>OFF)D^{0QhvfWFY(!AZ1*aP?8c6qxvG_juEZk?nO(6TyA-aXp43#3>@y&o zIv25W`j<+*SR6@qoC6U)wbN>d=-&m0zuR4GXN^*gULSYeQA z=?r59yZvlsYZ7<|3fhv*TRO3sX3kU}F&|SuUSM>@hGjep4Ip>L#*Z&DN@6w6{#^C@ zye1nk%R>YC87`OfA7QTbmPqnj)L(ou28l}LHl>HoxlqZP3M0=Okgb{5c-<7W;ZeQU zH5iTw37YNN?9GW2%kQY(dZ?X9Cv*P6axb$UW(94;hbNq~&hw<0}p>FyLI zPGpCpTS|ze(Z!2(&))S*G`AWg7A!QAI4mf zUCZVARTPoNMgwn`i8Kqcii14qV)PJ}d;jy~;6v=!ATw;iy%DC(x>y~S6cQo1btGD3 zzH7-T`o<-Vyto5h| zE6!}?DE*pbk$H#j^Gd{rTOqy-NN@ymUU!&HIiaG&Z25m&c450UtNcQsfAE+_Stb<2 zfb{R}H1I0tsZE>5d#`i<4)V>#&5J8xjAwE;J49Jl;V!pS&jhJ0&!|2eKJw}d1ye5c-5C7rv zn@n6lO80wDy*?;U7}dcyc0m@I?pa??EAMrtB15J4c}V7yb5d2Nzsq`HZyey96#fjG zp1xM+!Tj@vPIUiqCg+}iPHWmDr|$7&O#1DnA=%}(J2cL128S2Mj-oJ{?A!Vd3K#ei z(0`i=6t-z%9x%HK-}pS!#)M@2%!gD?SdOduekENlcJpc&>hM<^K94ZVxr_4-5Gaa` zZw7pTb$V%reFd2`QwX{J^W;TLEU(jBV@rth4+Yd)X^GyT!q$&z+HkS9!`ug^TNi9U z&qXAk1m^c`lDneBbod5-c$=qZ!i&`0V?uHbVz6z?J&Z`MR_bK}oeDnLr&g>l)|~^s zvZRQ`O|%~;o+Sswvo%c#yR_~qH<&9t9=`ZvGWs6XY-5c7EYH&PUF1S~x_qd;3ymw= zP*-Z%C6}+)7#?vWZ8X1dE!dOGB%IU8wLr zrik?w&l~$lkUjp=kF=Ocx0>>(*Po5C5UwXL$q4a!pC}e~{6~4ss`zDFy+v?jADKLw zkfPYZeT`k6c=MhS8xiy>fa76cKVW^SyL9H)r9~nX?MMC%$2}(GmD>UqUi(kXz^&M^ zc9Qy0ee;RFw+GHDa`pM%KRidrSYL*6dK0#=TU8Q-~J;Jy7oZ-|Pi;*`nc!o=D zzmyTQ+da-sXX7%yvX8Mx{}<-oGAhb8{1+Xt5tR}UBt?{z25CW3N~9S=327L*K@n*X z0V(N{8bE4jP*Mb>92yDfM!NRJ`(I~&Is41`u-7`S^}cI~!^|_!bKlo}#V?9DE{obT zwT)4+Q)ccTe$zWYP{OLEUh&>X@?rIG4rX znq=es$rl`LuEvB1e-AW9hm8E$f0f^LAS?W?P3s~t1O4iYRZkZee&ABw+cRArLSOaf ze_=Y-yC;n6ofqXYj@W+Lq?>%^ZdUr=Gbt~#^vuf<(# z-DJ^pg+`1bcmt<$aci_1vR=wnmTSqesb+t>r|hlku&R;X{~AA?Mh*>%?K1b>GwZa@vL7?@;=;8$B`6 zsV$ye)2(5ye(TjNZBJG*v+N$!Fy`fAiX*F`}0bgMsV!y#7Vza;R6r}J8vzr$djT{h9n3sG|!5pRnm&gL95L~C6b7ey1! z))k^&(f=_bEF4QJRCNkFFz}aLGb?$F)TH$D@zl=!47L02cu*uOx$!1}arfJx!ZB1l zjj2qNR@3J9R1tsq-I=OOQhU9^o366&s_x|zYb#EqXb5~pOJN18KC_v;@P6cAx_UJv zZ44EQO*T;+I18&h-D<3&3+x;tgb30gI=*mVqP&&o zB~0;PDIp=@l^fpYT#B`u#d-u3>{aYw6oE%QK&DE1`|zlqzL-hD1=&3N6KyWphLJ8nU`5Y*O)J@=l`3 z6kXMeu;tZVS+X7$7t@-kf+gEf|8lQ}RZxPL&*B2!Mov!K;y?XlBYKxohe?2J%?R#A zB^)0wsY$At`i<$qNI>px98J#y{2j7|)b+fi>2KUz!VA(OHF5TC?MW74B6FOx z8^*W4MS>MURPXUnZ1Wj+@?-#2d?zVRqTLrFfo@ zw_}O8ly=oKb)R874@tck5!Nm^UvcF1lY1G;S1q^K-)(C^EC`rI?m!uYZfKH-$M5Aq zJ`MW^M6+vx+MH#ZHjlQWR11tr4e3OujjOt<(-u=`@@cNxD&JNv%H&nLcvSG2Y^h2& zvR^MZv!b$7vHgvpsMD;%9a>AcKRVvn3Q2F%I&YttOHq%=O`}^PZ`(?y?K+f zPEL;hzTx=qBmEE>`?iqvaj8S`WUdA|OQc>t|cUJTj<>Wfr+c)kS zf+q}b<8YpO{;|IIiMO_n(sT84TH?CT2JSq|O=n2AsaRchPO(Wn6kSbf=-RujXVg(= zmkthgDms(<4_8ZMvbH)>#Ue&Y^!Z(Zd;)ey9{Q@oL#=DZ z9Jgs18n4;M;vmi{iO|@iaNiaz^Y-y}OF#AZ8eB`Ev8vgg8GJqL<6Snz%zYf7{Z*Xb zBgdL&>}^9C3`aG`6ZpXUz(In*2c zHl96u<{zI+uA!xc`5&LG-@hvin{X#{Rss47M2(txe0+S2Rzxu!)vU?eG8=%wf;@k#NZul^6(JJ6Xq)G%Yq-A9Cu-+@YQzA{pz`)1B!AK`J z@$+YVe+i{4Sp+M}NBs&nzPHbBZ&_3!O*KtRwY4_4wp4ZByQHQA1MOj+oxm|u^##y? z@JtFTSX`s}@Gww+Ns%?O2`oo$-Ljn#FsuS9LBr%E7K`oejg)05t0oo@6DvZaKXs}x z5BBv<8w$(6p>N_UC@KmuDbWJovB~lA7@EdWXBU@@FfUO9O-(W(ol^yIN%3ORo71I^ zuXPTvj(bADJpvD^cTYJBuT}fMVnzt?{%>Z4z~lcr%m|*x{EtON zZ{RsN+MB$zzJcfZd1d^HCyB|#6}uhGR^DFT{IGIQ|DKvKll(RM2Ncy8uM!3NzNLGm zCN8-k^7N0{wTrm2h{elq3>PlSO5oAO{F5KaUd^^y_us2xMJFoKnYg6H4^Qqz^>{g( zCdVJx3iyD~%beTV1Snr17QVpJ05FyqXpKh*Zv_?=6+r@Gt@@Az0A60;OxnTNC(S?< z&nMD11{|eSjeJF20Nz`T{9CP@1nO%7A1@zY{bnmAFp#r(fxQUK@`K5<6N5ehII&MG zfI++oup!aIg$xkd0(SYh&k+Jq@F6Mbr0?0I^A7;%u>eGLI8NmHK$E6MkyA-Ost|CL z5Wu$pKY#FprD(%dcqaUo@CIVo5@PSs-ueUWRd5#lt!oQC)jO4^n-M;DL5&Jv*02o0 zMp4=M9|#DW@fZ`Q^!NZM97qh7MxDTtJwDxQ01CCbtLr`xW&gwEyFAGstY8IC3BXpC z0IzH|G;#0;djFN{+L4#pteUaveWKOZ!cvRLZFAcS6ou(hQtmGeDK}^p-Tle zxtmePzfEciX<+%W6UtF}je$>03o}LFjkJ_u=Nu(Ww&nhc;dI}G# zsj8-9muvpzgE{sh^p!JTgF_@=KLwE&NG1S(i9uw_=X5&-w267&r$usdgy}6PweUVU z>@l9K>6#IwrS-krcKqnAQjU=0!Uru@8*Mf@rxya0kyLV(lbE^uqI&n)NEuN0gS)KE z-0|ZFSHTslm zoS5gI#y5pFg0LJUZ;3p;sidDjXz}sqEH|#3VmSCPt^;A2A`zzbZ2Glvj*CL}f(XRi zIk_rGr7y{*DyW%v(baamdeGCv#s=+%6x(bje#ApJuG4qh*368f-0BV%JnW6h`tI;W zSh~3Ey-x9}@I#&Ch@F^&nq;93{p$xw7Tbby?jUXvwE$vB-ry(OE(8G-0#r*20m3k& zxw#oEHdiL z+$3#l6%~Tv=%^?o9i!Sf$**28qk7bE{MGyfoT6wvH=062@xOLB-b$0sctu$XQ; zQkKioz=}ZR!RFcgC3y=jKUa*KHK0!$MyI7kXU3^A#T1mDP$(x0AApBm z<$^6GM7zGJ2R=v=yi4X*wOpkx>-t}rfQ3?f{o_xDsE!&}u&gw2ox1c@pMfaS!Fs6p zP1%D)@R*dNNp!5R-oJhcQ5-KBa5ECUsB2rd1F;34g6APG?_k+El-Ae_!V54i6!qgK zpS~u<0-v3M{N(sJG=u>ycj04v4<9Tb&hcVvLhP#&S0G(xI!wUU1up1mX^$xP?u4$o ziprnq=`G;f?k)|64BRCrmrWnVn1hXq@!p#YS3bIM+D-`1)OlX^d-JbR?yqgb3E%M3 ziFU}*yHYOTA`Hpu^p)L{A%7~gxu3KOgz{~ng#uuP{hgh4+{pW?z}N{Pp+>9V!O47W zZSAKkbTLttzKQ5J)tQ+LBlkh$#RJ$JuYbmEf>TdF`$YsoM@Do^>giL3&jOqxB2=gw zh(;|2G(=_aUdYkNC&a>f%IP&530?*v7i)xC;mKp?maO#d(}J6wsd@qef%5Zp<+`9JJ#i5ps=c&s8AD?^1WlQ^*i2eicyko zLa^?;wn~IC0;*_*0PFOK4FT5r4n_2ckM76Ow4V&gamU7La)Mtqg;3V$VhtPNmC6Q^ z52YVc)^2xd2U@a7AWNyHN05dJ2Nh-$wcM9xWpYbu&#LYTl4IHKC|5J3hYtKIzh_4@ zJrZ0%i4{y@XJ%#w(Fd@!86JLIAP3qlZj{aCPIPD~JnIbP_I|M0R{u$c(_?hkoe(

hVeM&_wo*~gd)4Ng+IQ>RItx*IEI@md;T5rT9kRQKku+q;G_^TA?5NR zul-i8-J-wHZ$ZZ8xLZ_+apQ~-x>a$cB!5fHRY{xcq9UJGy%|EB*{CFz7{oG7NBj{r zbm%vF?yRAnzaB_r^p84zA<(7ejhgPbwJPCTNPum`+u;h6kzIS!oaZMuSZ2BSsklIA zi@n`r@lO&nf-%t25c7_!cVy!uQvkO)*(;N0-IMW@2{djwki=~qUZZdGz$DgMZcW_QbFaQ~7iXFx?jCD?g^#$Zh5wBA6`6^n{R?+=GhEBA<1k7f zFA&Q{kN8pwuNO;<_;cVMh}&lsQh*+?M$ z!dQg^aKu;IcG~chsQF7A?oLw_u*W~3KK;JR6{=z^5C_3fFD!nVfHM}BF=*Df2nj_Q(= z`#aqgX;PN&>!Fl=ouf@~uk1EfKFb~sH^?PG=7{HDvb9wMc%GjHdW#Ii9z4i7cg}`? zYK;Mwzw78vP}F7Hl|VWuhpAD0(tcKhXU15gL!lSiJQrOt?GD5>u!u@vgzySZ;T2{C z#Khd7KE1VNzf}!}_v7OyV5fT7uc@<>g^5XSo25^xa)=Ps#ku_*Z*QzQRZ_u`tM0O< z6ehC}14lDyNJ}d=(^AB^{ezH8f=FV8X;%U;4H8Ko=~X{{t5byaaCHUIRSiweD24|z zW{;f+rxUnID8|M3n~#jBwJr-K>K&yjKlK}%%8r@O)R;;57YrVLMmlP_YM>jGxotIJ z3fKpbZ{}wMCVnWD(5HV{fPYl@?ep8XIgqfW<&$JUB$8FJl>a_GM)}+jRt3E9`5_>0HOoGj7B-M4>ZVN#RxI)MYqN0BQ={&boqv zg0Elg;mn5u!6mVJ7z8(%n3(8_fLjVTJSslEV}4uiEWQ?EH~kHeD+7^8)8jl89GGyZ zR<&4<23l%(@N>91kgOG%=BKD$vOO|tRIjj@=ZpvOF39-LfR_s5F1*f}CO`w$uOlK} zKXHhPUITBqbNg{nIs4et8Ux2Q*bf=sA4UN`c}~RcUNf(U$RA=9!=)F~Uv(|~8K{(V zONpr^0&ddNWTA*3@gsj0J{Y$eQ5VmlL#N#%a!Y4(ZT&3itwfA`WRx^dYq9G^)%Cd}8`b1&DSs4o!(0#C7+?iOrx*Iz)NfLV$G#b?Gj-T zQaAHz#nEU4TGHO^Jh3BPB}!EcwB+F5&iVY@qu)#uXJG#`+X~r-r?6G2rYU|}f6EBD zxgN0pLmr7|#L&!34rj^Ct;~KjF8Ne`|3MY*9f)v5(-fhnuTdm6_=qSm*5FJ%TrD^h zI2i>*gd38bZ#U9*{GBE{;rM>9lombZ{^WZX5gkNd)c&i%=lHhW41tzcTsTUqzvw@y z!881_=cgL0*L>lH!W)dU-G#~-G@DsOr>G}R7fGOHK-TXinQ2nX1z#**vk{&Xqc~EC z6-&dtF-hzAPCnmfRV+>-Nwm4#NXI?R?8fDnemAezt1o_~(Uf{9i4>x((g_G6ODkoc z8&D70D7yz=Tqa7J?WBMD;fOkdMWjNQg7}WgJB%|=%{23paUAXCYO|Aa)sg!G?9Jtp zn}YE|64%m4l7eW@2iZkbbTzKsEL(fAHf9?>e(Vjk7iE<~eKGTf+48Zyk&4YHRCHc1NMY z0Ow2r(fVPt3mEcO%9h`snZ+XRRvy11TqU|Il>_Ac>T0lvO(X?EIpN*AR=w{TDi*J52{%SMua8|YpeeYi!dsz$h>1fZPQ;NJ4Nd~0M=RVjb#4-AcAyCfl|k!H zs{-^G;7~iS-*(~NBEK33x%o~?AxEG2t5k`l1v}sVLmmQ#WFi<2xL&lD|KY8Asl=`w`6q?Q6XFn+J^ zG_tGdj9<4jg1hXMp~`E#~g!tPM<|8I~oH4y}Kvn95ZZh%fw;Qy<}MGB3;jL)*;D|5>3Z-a#ucX_45I=_VCz)v~El%te)1g%1=(q`5+iM$npJfqu@qS!TI4Z5`ISN zyI8CAx0n6RJp$}X43hn3C{vE!rADvMU3p>57I1UOXwlkv82!y7sYrO=g%1I-((vl* z1X$Mc6^Z9DSB?A-LSM=cV`4dEv|Q-W!?Lu`XL30WV{Lty8vg(T24i|}(r)bTrIqcW zElPXd%gt+o&AiJiHR`vM4U}u}e3d#2KG_5@L&_ThzkNSjYd=#h4N*^x=$c z_$og|)xmRE@xz#2?;)YHu4br|Vs^ zgcOv<>)&&?gSw{2mvEat&`Iul*1P!y215T#n%raHDR|6)&_vKOh!fGXu;eFk)1!q< z8Y>tgaeG#A`E$z-gRXF!X*N+5ww5d9fb$q1+ef7>5J?4@O0x-QY$`%Bi^cN8ybK(W z`!eMq@zN;-gIf^+0axG!GE~MsGSD}$b@RJFT|ML6qF&G_VA^Od^s2Lnl;;=vEtO7~ zWr^0x(h@izF7Nz5>7A@hHPEmBRZqIjC!J>~;M8VO4%r=;w}WEB6K6VW&=2Br|7S*Y zIw$lILp}D{dg2b5rx$FCYY4u*c@uuK*hVC@W_YAbpu3~X_sfh2jt4G-&m1qmfudj6 z=+mc9K`3erdWYHBj3OdQj(M@{dh%RIT7o#V=5>DwNYI*_pKeq2)~uygz24fs$OR|P z5VA^T@vB&p^KI5&zsr}wC6AY9?C2dmQIP2I#`kaEOqCCN;<;bLxs@%|M@PeBMzE+x zcKfz=0Yjus{k`exdusz7oawv*H(`y2zV|Y)ZrK3}I|yb!osM;kgPC5#!CYdE;`j=r z&Dg=gNbI?|8Yq#J;6x@Ri8!xl`Acvbw-XSpw8mr_j6(_WwePq+a5>!7$xC>Z2(coQ zJ|Oys81jN_OBS?TggeB1kO@oTvmOCOze~6{+At!4=m~kBUFD)g0JzcC)CkFKf#{p7 zt7~R<_Q$~RkdS*G=U(NrJ{{qZqq}^&hhATXW6q}RvW!E<7nCkfg!Apt_c;`It}1)H zF-jm#DC(bC9Ot7$$InrnJq^u5gfBWucSXf{WmpGVN;8?OScNyQ$o|3L8vU4g=3ka? z_^_Eb{l${w4zul!8r$Ea&ElT|@8$+&DX2iopFRlpZYxuBl!`1iS6Q*`d zHbf9J@xbriZRV9!3swt=%7^T)@#-~`bOvharBc|6fij<74PEq|Jf@ntTTPMcOJ=P*GNFYd_|pl3g%cdtBu{b6inmltq;nv6`O%_t6J0r?V9_W4}eQ(0bk5lfHG4SaO_{>&X+-ji{^fKKV0Za#d<4%wDAz#zv5>@*Uuyfq#baJKT=AC)k@!AWnL+KaUM0HTMkV^ z2}wzy5?8?lxv*k5TAkrD)G$IOKcYb}co9S+L30Q?uNf^*?sA~FEsTA%NZ>F-D7p1M zVz1Vct--5VQbU4TNR#ndfuu{(c`1-$SV6!FhnR%ii7|Bq--$*t@nS z3Zi$xc%9cP7|;>?*rdaF+devlmaB#=MYgq4H5W$(otC1Rm?0Ar#Kh_pRs=2&4Qc(C z@wwNDLNEmpdnkQ%E36g=^J762O51#*HO(E17j~0>9cM@>S^QHoF*Glf1Se|v$nj~s z_oqOaN5S&uU4dfre#UJ+kc8!a&v;0{_4< zZ8$OZ{tn*WcWpTP|6ru%@%r-_%JPc?x+mKC!BPuUoEaDyyW_d%VL$@Neq%2<&p=Oc zjZcpwUH~=iGU){dW}0ehPj$eQ0H!Lt&s$K_?zW!yVP)U_2EEI&XYgjCjQvAH^XZ8bIbJnpXnqnDWp8QhRO6+Vo7 zFcJ3nD&mV^tey*PWTL}5J-Toq=ytC<{TKnR_;BasWTxI*MR;Y4P=Ots(GW-)^&&ou z5qj9blRqTD{@$8n43DU}-bmk57-apya&0nP9s09`y7XgH)R1*5uYA%Qr<(nc*uMB`ge05`r8iz?6?bijm@CRnB|Q|*4Yc|@0YXSF)}tg?TJ+X zd#}||o^On}yD=-TbfZ52vzC3IYz?!b+~6aGD6YvO3my~TspOrm7AkX>oGc828|}*{ zQpzYzJJpU+4qRUQLYnX0iusMe|MWc;WqQ}D*~#Uf7J1Vu4kfJM^ger22AXE4*wq^K zoy8Jp9UvOLSZlQYjXy%hd61#TO>VITrt0A$9NYawk^4orQRBR4Rr*T@4v?iGQY9-; zuL$5B$sY1nPsAvu0?8l35%-D>;S;1wlToMb21oB>ME=8p z>f2<)B3e(O&)2_b0d5{kOJyS(mmksV-tS_HIaB2Dmv~wKkyU4fzfMrYjbbc!kC2z;H%^-P)&uL)+iU_l4mpkyS$3o8QMcQF2{1Gxqb-K8CO z5b6Q|6r}+OxS5)m$YrSpJ(ZYFxQLPR&}iGCq~tWgN7R(;1qGeA?mo+eQJCncF~>lo z^2YV+mOEF0eM}+ZUbacWJB)&o3M3@9Ha6lw))7XPZoSw>m@!WVd9T)I89=h!F%TV4UcrJsJ0yQZqj|J}PXcOFm$gp=WpK@?_p zbMxBGn~XIdT0oiWv5-(ya)q16?k?KzRVNE4Jf9=$(1%&z)KfN6^Lx<|bk8~1@&=ax z{S)y1eTDl)qWx?Z>h}hB$nFz$q(1QjdD@?&rTpllONQwV2==&x7dKals?!TCu`pEU z)N3=dvI0ZmGcaNp{yGfJ&aRo*f9RR%X@!vgIJ+Sb#ez)sq~3M5Bx*iUrTkD^9{ zmm!um_4mJ^B>;EZ^BEj8V$U0K(2x83w?V{f9ot-HR{O&XbnBt(H!?B;H&HQxM=r~P zuleD#8F!%vt#`qLY}x;YI{l|G?=cNzlsA{$A`G*3*C)^E*7jAO3!*!W!P-GVcHbI< z|CQssF6w}wcAqGyC32V?TVx#=o9_fW3z1KUI>D-Q?%B36xL!S} zx4-yRj6EFcFof2yLwyOMe;7Q7i_JS;tAR|mAB^CJ+mg_}9C7}xTP&G+ z-%jYzqhI&Os9?(Gn^b#BLmCLLuQ3@A_vnT=vY2czWd9$LkoMTa74) z)rr}Uj47JSt9pEXFy%!-Vubhtcex2Ya8#LrgR)kA@Iovg|2N|1I!;R9HyuXjQ35O@ zWT95|(eTmYlT*fw6ZCH}d~OFv0+G0-t%$~P|JU&X@2*adJWPCaw2PmZjf0CA-HezX zXD04Ne}lnWd*Y256prsW{@E1YDiJFkIttWoD`Ui(nAplld6Ztj1o2kmX)zF2GHCuhX8Xoh5i1%N%3z?cFuV z#lT#_pyZW5oXk4o1qSldf{=l1X@ZU(Cmb;W!Y z`mKS>SyU00pp&yZYTMR&>b!bBO@{W6b@_Q-XJ%&Bxx;{t>2j(twT#RwYkP4})_oJ8 zFwgHR7Jd9BSmUM!ZfnkVkIHrachd}nsBhhh(4d5BN-;@ra5}G?Flf26%V~;DtHn>0 zuvXf_hZW&_`yC!6J{H8*(48A6iJ6#~fL2*X?+1+1vuE6eL7}1N^3OWf;G*gP1APsC z&e>O2uPp_MnT|D3Ap~mIy_pQZ#Uhic$+@6LBihO41*FAIJUokGKmpb7{MLnjeIa!K z9m?y&aMV{EL`lI|1h<(>xNmZ`_4OwxZEKt6 zE4{Vj=ulmsZ9Q;&58~`DIOk03KMp!{!0OAfKWtz%*ruA7D>gecoI^2a;RMYDu%dBtDrfp2N2B|YBw^%XjC@~e z;XRdzWs0CBpHEMF3vm!y0ZyoKuYs9roq}qOU*eUQ5r$OtT28zvrj7!JNU>)}$_$8N zlJO_SRBY&o?2V`&Y&2yT5etD<^^VrA*9uF8bdWXE`j&`=_isj{%#%iXr`-uJ*d$*> z?4}FIRZF())N+Tq@?1jJBAOu$A^}WKH(NS+7OCZI{1?R*iVv>~c z5M!h-2KH+%5$+1#o1Zz<$oxKXQg$jfR zzb996+6kd6rDN#OIE~J-$R42=hA9px0rZW_-#;W3DzAe{ADdSNnwql^FZ$K9QWN zs;Y#Bd8_2)|>DJaCi6mh0CERo0jV}Abf z(RxGAd?i=E;`8T`QBnQSYl3`4WUB$RGL8R&67Pif(JD@ZPL+LDi+)A;@-c8N zxRPJ0gE=C zv#L9^B^=%;XH`g=6yY1Ry*64QRYc@<61C$y%@|pY{c2KJUCJMSs@ZW<+k9wy6FrX*Rx`SW-VVT@zV3Iz&r*Ht zyfOryQ3;jjQ;;?qABF1v))vrK?#+a@7ZbdE zw;n&r69+*&^cdaq`LX0QzmQN(YN{b*Hs{)}qXS*10ASqOJ`>uF)+tQpeywC^NNfHf zAz^85&Uv<-@T)zHSWiz+AuKVhdchx0PlZSu;|xsqmyg++a#ko~up;YSywUbTx9XrO zal}nw4$$%-CT@p9UBkoUl13xh!8XWKy0tDq1qQWXLLhsguZvHQnT|!XQ0H&oyLVMm z8k6PP$i0z|h9Tb}0dE?}7}gMD(Jw4r9VF}XP_ZtH-Pc{g61Ml?`UJEz%Y$PBgR3TaUaCz25yydc6 zgL(C$O?A8hc;9_>bK+NY8Q(oR`2IqDEU!L#5b%w;)d0F8_pzo}pwZN}^K&M^*1uj8 z(>dG&rPa7hTV(lZ#HYJ9-8ZKX4fYY?vnq#C1WW zX-OofR>bl~gRsgM{3|CH5ClQv{??yHNcvHFlf3o2+xPFH0j3w}toL;VjQQAvAtT=;UcWdv(E6T4FFyg4P>vXTXP1ligb=wAIOIZ(l}pgAwpr-_Itk9$8ZAV8&|6 z!|^$g(R!Qr*7yskNg4ho^6Az zr=I3LuPPdm$QKzSR1vLUeCi!#XURE^`?JMq62rX9EDa~uuJOdjn2Ln6D>8G_hZ5FB zPx&lz&wpR>48v?mNLBT-Wv7T$kd_YN%Uk%^;`G9zRf|)?-}m$v5ykal*j<|*?rl=S zifO^D*^-3?i=mA*w!$9h(0^&x9I$R5z%P`4L)I%#%ZbSq2dmRhMGt-z|_=cXAThev6P_ zZ)|Mr5j*>wxVpA>^Xk=wMYlPISJFt!GC>(fx+4Wp-=5sOGRc|PoyOe1-L4XjL=kq{~b9I z5j`t6A0JpY0PuYoj5bL~X4lsA)zplOse+d&dvrE335$o3@|RsB#twm^zeaqhan zxveB!Wi=(?o(;{g(a~oepP|#3n3%7|T;&h$7{j1zz0bwV%L|hCYID$!MJ8X5C&99} zZA_CRieFFpxEUJDaf-b=U8`y>^s&(DgMBch@vS^SIc)hBp&Rm%xgrA5Z0L3n97kT!o=vqbtE@CKNQ!GDzStdPr*)ih^5$tHq zrUS)Nb~1J3*}r?j9aj-OHGAFM1Xx1ph$B3NVbRxh(IlrT*J=0Rml^K~;Ptjx8vIkM z3iRb>UWD&Z;A1pp&ELs(=|Us`+ffMvX4_dJm#<3^Va3k&O+yGX94;V)Z>dqN7EQC@ zlLj-OsZ`0Rmv1Bf9F!cCP06~v3S2&8Y4lZsa_e`Jrzzq-XYF=iDh98J_%} zt+1w}Ptr!y}OOSyd3Ig5+54ot>MO0@O! z>Om4XRJfADPY4Zw=IqzPB9Gtp4 z>=gjxU%vEZ6(WWxcz_&Su#oNlwm0e1ke3&1Ae&EF@Gx}QpiL9M{l;}5NUYxytNo5b zWAtmBSHYElUy+za6CK@=d}kb>V&}vMJbwwyhiO3u2GG$z&<|w^UFMaUzdL*zfsh?) zA+>q+8{-Xk-@i`K_I()-?taiwh9MsKw0!UB0rk)~!M(wvqN1S9yAHDc z(7b1=2CM=;Uw50+uh`OCL{~vf_($7!7A}T`)o{4P66|%DYbO=Fd^UKL(oQ_qta|(w7OU@dh52`# z>-#odCp*~Jq^Sd7;WGbPop}&Qci`RjkX7;xYiy@eb;IO0Jp%(}6EkOMQdw98>&lj_ zudE=owaqsc$C^9xhT9y0O_G+k8+pZx*kMNx(03artXmiXN9yvWOTEnUS$)98fV)>_XJu6YC`X+| zZXrqO=mfG`>e^E-up4eAYxkYxE|#9krm3hLqX$QUJ=orUl`WIN+0O1u-l3>@E}a*6 z1p*9?S)35KC?y5`i;z@az{NF7%g?ujNuz;5O4BDMbVKy+7oJivcd&^nJ#48qWAUMH zakAgM*F^&7ed9&6hqCSgF%#Nv%kDZ2!q=QAghu;-c;1Z|I14}Ti#(Y8krIfxjNtI= zx}pNN&WIL`gNXBmpBUo8@B3am4>pYdcYdht0*g>rvk5Kix&Q08dNB*oAuG^tPdJ#+ zPJ&4q`CUCd5n*A4d3ou>@g@vJ9VTyJzU>Eiv`(E0tN6lvLrr@J2W!1cHIS;3!uxo~ zkwDKS*!dJn6ktCRgJlyjafU|zjUVsczt7If(mTePcF(0lvt3771FZWx7ZV!F|5)(l zQiQV?mMz$cC~5hQj7GNt6ldG&;(sseltAjj7~`!%@bbA6Pi*XVDX=G@%KL^3Mj`-` ziIHW11U)b;jE#jQarhlDHxC8+oexF?v@yffjU2&=4gfi?`V zFP=YtuB9~u5TqLwfb7|V2N2htiTNa-bxdS&?oEBY)2w_14#d5^J%>_Y*mcG}^4a+F zCXxn3y+d;P7lnm|!LRh-J~Sf0ZgabUKDHB>N+x3+7Z8RffWLGC+b7<%oSo;jFl7g- zYp)|XvO@S!1Oqy0Y3Z9cZlL~xNo%gt`0%j#cR`4`0l<<~QBeW=GPpcDyA2@5RxLO? zItoMJDy!$7jZzlXhORakvVpDF^4gj=EK*%81>%p}(=dx%H61;@@n65h7rWS^Wf{Ps za*T(~%G^BncSZ$&?EL=_YybQIG!QZ0w6*=@5EcfvyW7;%$#;7d3&%$nf zhcU*{NcNtNj&oIf@G7C8ps>=T`n2F!4M8h9IvQG1f}&MN&G_IXqwQ)8h7lcyJI3SZ zG1;iibiD3aPDx2GnAMDqjwaAp(139tSZgsdG6G*y1Kc7eCTvtvtE&&8_ah?qherGD zrPZMlzx4MKiQRq>SizL6+$k(BO*I#wpkOd7BV#Hp-3qZTMn3CFJXFEJO52oSX*ojm$K6xH3tB4gsrd^v@}YQRs`kHR7TY&$ciJ>*NKMiU91#s5 z`ozps*VZC4dVifUO^=*+8=wX~X5}sBw;D23ff||{Koc43O0c->?YWYla}kx(gRFjc z4_FETqcw>ljIV%*W#O6P9f{S8-W@<=0-()3R&HKi@Kn_V(hVg@2m?`4QIk`ni0S*` z;UTo*&G>b=(Qbj3+@MU{HZ~*s8F-rzmi)M&(9ZD(^Iyk0Z7r<@@XHw&{JM0`pOce2 zX4M;bVMK|t#vgh1sCMJ69S>db8Vn~jwcgu?l927)MuNYq%A{~S8(Nce|uVoF-m=L z^Gv%x&@uy614eq`H}}CjW&h}Cn<@`bMm%QU6_#yn33f56wP3;vW8{@|;`E%H2VPVd zZ40B??QWrChZ>Fspd($MypxceY-9KAqf$;r$P@2R;eDF=ZkPNT;_;H`@E2ECvlwYk zgbF9$z?{RgGk!WNCntb$!5u7yKk9V=Ru;a!V?V@*CH#7M0K+5rIvAKodw;>RFbDHEPdmF`@10B|V`dT( zSRBn806GcM<9(GzDf%y7L^+9$-y{J%^~;p#XfO<9uXdfEj5&a2tUdob9)A88r5r$5 zfwRB<$pCGoxtF#?&g1g}sFD+O1$uiIu5nT){aK)A=it}?5q4->cXo74UGbS|)ygI| z(ATFf|FuvI7{Xhtud7YVP+9Tz;1w1813FC*zz)~?|3R#<(qrN{FC1Ri4`@MoR#aC< z6(-63%RA9$@*8sDqNc8Hr^~~xW)a2jw~Fx*h>g$tlm)f~#Q#U;EDy*3-xmxqulaQRO@8{^>2IOr1PF>|*LIL^tX6+IcQ%Lzi5$y_5Tzg{?%&8_dXc?hc}LjQPDSNIubI_$FcC3vjpHJH zR0l=9BLHKSk_21Y+A2NGfyVA>ec>|xP`M>|0hu{iXWLBHpMdo*5D@wMO(Cv8ce1p7 zNkA~k2K*JE&K0gp0=wIo=x8mwDMaHBNRK>rmdcIVZUUh@I2S;0=@1}cH(QVP;o{=1 zRm~@#Qy4rKp{2O;yKof9ZDwrHaQEEq;%6!wJ?^!G4-fr@*aM8*Jcnek6xB+UOje92fH=P@#S1h3{#J-?cxGqS0?__ihy8hl z#?ubmC`U+u?W-)j`BX_MJnPdfM1jhG25V&*;3K7l$Ff$UnwyEXx3fD>)8whPKa;il_F+a1-MHlL53itD1W8--)bXYWS@YiNVdpLof+UQ3b}0= z>yTOF{CJ(D1WFraSEyYL!MYPrNA63xIT*Wq@XtNDbci^Fm6_r;cZWG>G*fLJ%9Kv) z58yE-0^q@O$_bzwa~Vz%vS6HJroV=I@&u=j>982w$-!Hl`)mTl1VZF-o33>O50zd*$uxy-r(E>mE>?B)c~iCcWL8AuFuivc7kc`RNw(@!G&Ay>N>M0L=sJPw zg#>@Dw2By%qMl>$SC~@&ngRNDuhCL-9|Y|L*b=G_dyVAzPL1AvEA=@$1=wXlVaO9a zEl5m9s`bxVn`X{yzT7le*y$uLB&e~KXjh(2;LKD0Zf)ablTTCmHJamsS{h(thwZW| z{{n*$c3dE+Yu?jaqUxSp5$Pbx&3qWmh5V-!#94)LeCKV?5c zVv2z%Q^9U{jX%jgd2%iq{qI16=6{j))=^QvUl`y30s<1EAR#3pAt@;((y2(dAl-~G zAdLYcsnRVV4TDGxX%Q-*bccZC5Yi2M=l9*SyJye-w;s)8yAQ$gnfq1e#xBEY_ROk)dVsd-?j4O$5DO> zpzeWgw9rXUoP%d5%~jv5j|bPxPCF}(S$8j47Jom9me=SX2*7onL|KL)jXvhpI|evP z-nKcf=h!nBII5~pqeTAyo&Yf)RP?5D}X5%8ryBOh$>K7-_`mj^<_ zr70+}ZjimVkn#EOEY|s_#ZQ~%oTI}-J3G6?`1pTJmVKD~e+4b`NeY23ZfTxK2yDBw z3Vs{(P2lNPsX69~9#XsNL^zys2e$Pb<>@SWMh6{LEzB$|Btd_(`(s;tf(S3F2rLv2 z`kJM8c!1}k7FYw9ueoMz1)uZ<9FH}s(9q>w0_e3V7_p<`3YcogH3H0Z^?ElQgTHL^nKeGCuyO@7 zS7!Txl8pW6WityTauqs-isnvAi9%1r(Xhk93#q>XJ5#1-Qn^FzF2JVfKY~xvGETkf&%qL;iRk}m>WzcAbnjF z$`dIofyb%8D}OPcPwfnk!CV$FNWYcT91H{`0mNW{3^j@X4fQnWGUen8iSyyNf|ft| zU`3#v^*X1yMii-qWsvfAfdm6n0PR}t91#4eM!nQ2GE}Qj%OZml3~4;x?1KO&zA(lJf2nj&w%fJC*Qso!B7#=@fx9IU29?JXosg z`x40Uvt5+tHZ%r@0(@LIBt#9xH1kTrlo0^#-1@JGP{p_3?0QGojr2|h?{l~McZ0i9}FVxmvv61 zL1Nxt-RA^w(c78$NOg7VL(k@*6GV91lU`?MSlS>>#=7 z*6lw{acc{ik&u8i2aL>nPUe! z6RqPUAjL3b(#vO$mKX}8>KGU(KREtMCeZEX51jGwiHW*EC-r-f5{%}qgB%Bz`yyfG zz{hLhKr7WEQIHyiyR{A3r`pZAqlIdey@57bXDVS(smX7d>Tw@PONl71susxyjzSk? zN(PbeSm))G1`I}aC+$Pim zElvJt2sCXk6=XeTag7fGy-H==7GM|66^kFM;&=1wm`#20@?{^9FGM^zQ;)-)o`!jf_kHEDLCEcrmA(SXgr9-V z+NQN*GjnrF>+wPBRg<{h3~;g%boi{2{R$dtYL5F8mric?d1;uRv=;&R(e${|wzs&* zN9*?BB5!|o*Z1!ZrzJwmcBZ?M7u7#0$ChZHK*rVOa;aDt8UTU#;9ymmaB4$q;^Jzt z$RSw{QyxI==q>jMsiaKrtGq{2gioEfrLI8mNU?kynh^&A_*Y7XhX`rwiGkQ;3RDR> zxw$w+{xc`q=5J1rRg;6ixP^4GA&25kpPuR4;v zc6j6tXP?PpL13#o4w|7Fdh`+_R?Q)3-&eh2T<~^DCC@d0cX7U25Y0GaP~RpH>Etj* zeVqj9dN(oJxygcsit5ko?Ck2QjOAxUmZaTmIy(*&GSBW$7;=!RGt14|6)5XMx<=d# zE6#zRwfceZWHW+e0YNAA)13_k4o|9~MB9#B$(6=8;*Wf`zl49StmX4&mnWN43N6lI zDfZ~8)6?M7_0lA!5Aj}p_nfT@#Q9Q$_TQV_e&jPpWO=d1p!oRYQN5G{o%K{y;w_@# z9f4aX>zUYs0Y*jfC$a;Yt$mbOdAU-mAy(470Tci77c$ugM_);ehI;vBk*(YOi%nJk zG-(jbGYseWua|tC5VxK=H5v>x8va^Y^w5{{pg>Hvja5z|+?nd5{rGc&zBw9o$C!Em z4;FsvZ!;TT!Jh$`4^KT_UqCuZ^0AKyR=%`l+UTq1`t?EmkZv~gUF|4k>j0~P4rh5D zm-QJpzoG5P1lRg{Vi!>6zxc+9#_Y9y_-;0KLJ>20R45~aCHM$Cl?TB%TcO^Pm_?WO zu9}s3IBU1}yRtXN`8MX~&y#_q|0cRu`qb4@yFMMohOeVjtL4xUzP9~%Q35;!IyRon zw6@%MDO`;t`}Lk;f~K{el!~-P^aJ&q+$Sw_CTO$Pda7)Hx7MAT+_t49h+Xh4XqoN6 z7e8l1|Dj+CzbYZ%lE!-GLVG=xCFt@87gpkqtGRm)WH0X-)Q6p4VU~f&e7;_@U~1r7Hgp z&P7frer^l3yLtLikhQKb48>-tAMU*9proHl>HR11TH?YJX|{%|3j@PcEuvn96-SR; z4+agHT>U-n?V+;aYi`~(Q)ow?-u4X}avjuH9Y5~lW{LcJ!=d{g?1pvgwZj!NIHh!R1ZP%ZhQx{TM>vzaM9-(ubr^$Gj?q($W4RujwGMt?2c^>GkLa(12?GvGwI0{0Kbw7?XsqrPM1ua`T7ab6 zIWKRfasNR=8)r>2ibnf>z}#23ev5tu7dptt4hN1qO%(4LzXL@A@5COlH{GIO#XC`j{>+0ze z0D~%EdK0(=bd>IGM-&kyb9$zmtQTGD&dVL+ZSto((w{cNd@kJErm0UpE{5S~Y>4No z`0A$$PFKY!_RgXLyu{Ap4?96yu(!E+%QY@BQ4Bl<05b&JJ)mWbfBR+)8hfZf^7Hq< zJ(};C0&}aqDe)tmGT-rukAF+P^P*wow>PFAg9CkXm7ixjx0dxxiiWNNWOFb}*WYjo zJ>&0X$+`||-iQl4m`i$2L=Mm!V<@ikeHVkCzJ3$bQXvcy&IrfQN+k^b+pm2p^$gi) zqIsWl*`~vlh!ZJW3%q0TP1z5Rfnw`c0=LK!5llQ3Dj7k6G zBz3bGV!<$S;En1CBctG-Vk1${lqk?bfcoX3?;MS|xHy{K+}!+R)VVw~49I=?@oNJm zLx3?zd;F36Y44T;f?6-H_pd}|q1vnE|L1B;^%KXPFoKI14m3t--avVl2Zj8qwAd|Q zbu&8dN#1mTJU6Nm?qgXPCM@xM7ux8hb0^1Nqxcndb$Tq%I~3KBhYE*&YL+!JsJg%9 zia`(aC3u^LYN%WKQ~#~sX}&O!D3G`E&p+piI6uRXdY9?LZCT9AqCF36&~K7+V9|DWRbn{{w}(IQgmp2+;#l9@;TU5eETQz&T{Qako>1lT6#3t$ktGv zr^P8mljucVbX9-JrQoPDTFR?KXb^Q3 z*DwSBNGMTWePw4(c>e1Z&NynD0r-q(xbvUmgET}s9is6L|37G2VtIp?$^| ziQ3o;XNMHE+V+%4*EHG;4bN6qm+nWI;#&E`a#hE zTzy5&GU3KrnYSn!F;b+fZaz~CoO1Z2xV>&%q_dHsRip{c5YWHG#eJYTFZhbx4%qhq zsOlSdb&3K*7zf7E@|k#@9HN>g8$qCNmxEE?TB|t^7e!HXlqE?&rkts)OdnaMQxrzQ z#6U#_6`Crifnvb;3I}%Fq~xbpR#v1z=m;+_Uc7j9iSuxOKbh|wva2Po17B2<0Is8? zWSyBch;AfVrH&HWL)_>0-Ho`N)_6VX!#lF<9$$QR`E!QY$0dO3$i|_ z>h5y`QVz77eAjq&jvch46}EG7)B6-dTP>TmQHzjY@VfQ} zMM4d4l*25Q`1$IfK4SdK5@sf(3MMI4ycv`Cy&4I@Mt^?8erXRnauATZ;NGRp(&#Av zJj}{UG2nDU7ezhd7VZo!E}c9E=)yZzvf_mHjilJnt>3$@aH>4rmu~#)6ir0%sFrEQ z#LIiCb5D!M7L@fRt+aY&WZxf|hlazp9D?Sji#k!97ak4R9_(ZcZNYO6J}StHz525L zMOb~hy|;e9|G7ahNvM%yu`X$T! z-(yLM0?ixPsZYUHR@sgOckXbj?l(+n1iU0KmYo{ZRMfjgjRrE&|Zq_K{>RQ?s# z`)+E#o#~kD5^xc7Lxwnf@4(3MV4uE!34cu zK=j-S=1Hi#!>c3B=A_#H-GWwHv>j#gF-v&%=>-C|{k_qu#C9sssz3g~XqI^o% zRZn`+VR?85qR5V)K@$p4zut#l?K(Qk=1VvOY}c`xjr&CkaLXPp>lNhXrD(lZ70e*4CiY0-*v80D~WR7f1pG zhQ@mp8_Oc}=!H(v%G9Sjgi_*f4hNtsc+RVQ4P~?1maUuaANcV;`|;@4H_RVapyd}~ z!drd-Zd+a;uhq@hM0$CF2u!2`C`iD~0HIAaidYi**$X=MURU1)S~(B`pozGSA`~b4 z%z(j@7wp)!?X@L5eR0Ug>stMJPLtQy%Rxtj7-9uh1HR1)=jZe#=`gGC@KH<(a#RGop z$=6`YIIs5@sijKW?0HNxlUnx6?Jfh8_a1_wK%8#sOcvrA`KK5=hlQQS_2-^2?XWti zK#;Zl!L$nmemD=Klr90 zg9nQ$rk_$TAYB7lPT-k5hj_4DE!3H~j#KI1N-&5u6~eFTs^Cf)55tM{O-Jq+PJ|1T zK7xsiYwo-LUe}(rIiWBtxAiIdZ*gMR?bUW+)++dIq;OLG*Cmc~BXc~1`bMTDxCvAer0DPu1V{k4 zfq|!_d6TKZ1BrE@ILx%XQUPBMA`M{$CtT81nvjf_>iv;1e2y zfntoT|LzL#`0Lsski=E-Dl4%}cXdhzpb!%sb&Luag+VVq6`*^gM0`J+ylv;{zh~;> z5f?xA&W{e`wHdVTfZSw#Ved#SmJvotqi>}n!Lp0)5YF^sTQKm_{gv0_&^aRsqRq+4$*O1& z?QU-Rwa0{8;lyfpq6 z?-|Klz1xn#SFt>Z>uz6C;unwA;VvsU-~S5*?sTB)IXEoB`tWIgh73Ga`=COBm5e8YAy{mxc#kwS?)%sWI|{CIcJAe+gnh40YD#C z3;@e@N`fc43M|Bf2Q;CD0))6t%jtkpV^L`zGcexLnYf{t_JKq2C5mJ)B(huT8Mu_W znxBUwbW!C@axT?a^a#hsyf)64X5eV>1kL&D+c%{#`}jR;}O zBOfmS*c90j{39UP|2l3DzwFzoNiXZjx`T@^GT4*S4n{<@pVyLThQ&vMl51!_tfgXh zN2myl{9&$g(~n(4*ZmVgx%teCXcjkngZWSXg!t4$_8uuv>+^Amm{t(LZLnO{4ET|$ z?KlY%b=SMG7&C~ZNZ1fjDD$8U6bTa~dfdNcx!v7JVI(8^NBuc2O!`KmXcAvyYT}cI zR0GD%5f_(M81xKsn>?i+K-!|H9TrgH8fAiDB|R;gVZ#^5$K$+L5)i16|5i$R!bLmKz6E<-v(x zn|v+~xqAPWzB9;ykc%9bF(eHx3&++Rtf}XJt2l@IqDYswEv&=gSTSwKoe5;FC*pyx z-tmGZsE%11%uu)T!%;MdZc=IqoI06^QlKt18u~YcN9g4G+!k2-axE3)qZSWAnFVIv zeWJIXTx>Ei&Qjm`bWWEiA^*}+(MZ&zSZqR)FtIw4%N+5;Q}RtR`xT^02{^@-3{-+C zo0NBHM#coha5s5)IvEs|lmfM$@7JbL>)8!7mYu>BH>FDZ$P6~WA92^Sg#YGzacLc51rn2xq*uG>Z z+aY4d@{AV3_?7T@XU4z43>DTUpko0|Ke|SQ?gCgJLDPypQTk%ACxE<2{xTybCb^Vhz| z?B+$m8dP#}%Q&f<7Al=PekXsvJU=L4h_(a2H<%iu`Jduv-I=jECf}<9?F*#xP+rSl zZDky45}Q$8K+@s8Z5xM?(Pni=~}QKp+?h8bE+#5Nmim7vXrUvknVTaMgr4dQ8_tVl>me7E@sUI-u=EH|pifq<6RuM>`E# zYqK-wfoO*4Ot|8fLYIePgBhPJc*{SF>|S52Da8R~xPil4T50QxDEuFG}`U5D_A}i~{%}crFXUxJ@qY&p>qrAPzv}WV00& zga3qM?dYQ0mS&{yTtb*EW|Uoof&hw!57hWXC)7~Hfl>m3i3|O1*&y?P#O3P?j9Xja z7E=Cv$aYh+Y&?ntw`D|l4CwZyx;CH=@->4EownIUFBAxl5vv5&tKuS-e& z%W*?FB*6uf8mRP7d{W6we0ge0#h{q6PJsiV`WB}9M=9OqYAR;p@rK!_>p!4Cg9y*Cvn};v(F|li!{_-4(IJ66qwoo_7Eys)wYQo-M8KW-JbCKDmI>1R`M@CQZ24CW zZ3wy5<39PT@&H7=5-80K74D(3RdCqGss^FZzx^4eInf{pH#New_;WmQwQdqm`|dlv-ismH^%bXgZ7acq)m&xESax#LIn4%j8%2 zsxZv+^sTUJQWYM^*O%x)hz2M>Bv9a&1hrMb+yOUY&}s_eZbhl8hM&1Zj=K#;@QfFB z+(-oqG2RXF7Y#!+tP$^!OL;%(Rop7kGlpdmSYY{-8drw-Yxc-E^%suSrUkIWqYX?` zJ+4mT7}|Sgj8Sc&_JrF#BnbTND1U9oYSx&f8fiG>S&*$?yu5u>u?Kt%zb8*b27{e9K@nM5 zSxrrWxzW%^_{RNA7w`U51!Q1FvsfcxB*q{8(ED~JB^iQ>uuf;eBYq^h2Do2eRq`q; zJ;@e&ovJSn0Y&}zG6>^<)KuEj28JUiP$FjtRAVJp;3{liiLS#15WWx3(s^G9)R~|J zaiyTkJIC=%z~cZGs+C5a*(unMDl00A)L(ttvLkCX6;F;hr*ys`ylT0m4UDaz!m*}F z87hBw3A%B7a;}BatnDrODPAF=jl3ia9$uH@4UyXcleu(V0aLL$YHO?xZRmYn!nS2c#q%O zlmHQaFI)aZgSun!!xv0Y#E!~!MEK>gyB}Qn(3HxT!{(A7I*=f`sW(FPA;~KpbaO_! zzJ!?K9n<9K)n85nHMB!y=R7vyLs!0~y&uyv`z^^4t`UcjYy8-upG&{`e(auEM}mpJ zfEfb;VpY`pA#dDtm&LF>|YoY6hXGowG9&ml}L=Um&xqNb?*P#asS z`W5La!mu~%y@2Tc^7Z4*nX+4-Lto5}0u<$>7L9@p#Y(D7wi0~a(imJH!0?y$kBbf! z7bxO~botr3s+tsi%pJNmfRUxLNM^Se{K|$Heup1=m{!RYguPVMwo`khuj~UYxCmhL zD1Kj6YeRY0EvHrDRQsj&dtHt3GchM0HQyp-_FoppSP&vYQXs=+sz0{FjdXCqfya|8 zL5o?wytMQJR6a>a4$gMhM7bh7y9o9NM?7o1@2uUn_rb0Vt_=pM7nZ$Clq=h?e+M*KIz<7-(#U`ieRr13K~-o+>e4|$^3X%P|O=_tnHErz&Z>G|g)n9n< z;G$)3Eoptp$FbW#LIV%zQ3R?Zz=u)Z_Z}CdB_`6UsI)^c#S1M-PF>yI3)-Lq5g4N{ zOgw}@Xk+je%1qp+<0tb@+BP>RdX`^DS(K~`P3#s#fI-?YEZ{;J02G07uLW^&3Fy61 z>ytA1zk!7g+Wu;jRUqjXva-znDRWrHoT_wwB1X{81RSgMxds$tydOV)s~+5Z&)&o1 zHR(!Nn4w!H$jcJQ8N~_*mYbVpi%fwt|CNm0Q`M_RN zOuy&-fan}lriJ~lDr9TJ%$^U_-Z4%N4qM>!>fIBLBp@Jw0JL#cHLn*)?*@8$9*kO7 zhTMZ6<@;735cwO7edCv1imitrjRUXVPHUc6oNMLN&Q)!2iU`!>p{X1m9j$kpkTm(r zEWCupdV&_Lq+}NDkb`ksj6V@8?!0UYTCMPuS)4WAs(msS+WDcnvjs)k zqYeauh`!IkvxM{Zfp1KHohF=KH{5=(#0Qy-=HHm8D1a>b2TuboM(FWGzj~ETp=Ve5 zQoT?}R(8_-<=6D2f!Q08*jm{lkyblAgx!}jjoB&2Rxy70J9?*ALFhX8e8z8qgZ=(9 zS4Jcgs_U=r6|miDS$#i@VXTVA$QlhgZM%Zjvh_@KcSk)zdHfxDOZ8M8@qMje7U;|O z9A>%T($R3ns;8LK0)qUEDv;QFpHjJZa!0*j9%}76$$0yK-Hi(IIh-Pa(beukb~|eL zA@k3KW3@AA^`z)x6IJJ2rvP6dfLx^?xNy;6Q^A$*Zh@xu!XL*BmwrM^aE zKSf|(v9lp8PyQBHoT)ZT?X8{{K{HC`1viIDT||Tk20SjQZnU+W59*_KFk?ADyUv)i z;vq^0RSrBeHq_oBR|6Uu;3DBuZ=+_f*0Q!>_}$j-Aj>DXp5q||W3l8-S6a+HN1pwe zNsVwa#4Ql`+rLSsy5>HF{F$j&DUNE687ae)dlC~cWU%{WH`wE{nc2hQCE9AWC{a4l z1}-J=R4#b18hRj4RC4dBo(BQ+J6-7BD`mE*!hG2 z)W9LeSs{F-7z*)8y%z`s?S}6_$4D91JIrbM{gNmtY6N2IQngwTqrO-qO(^wHu~0P9 zU55l=$$ggT<n+L21T@cnbO9FhTMHvu70aD zx`_BZs(q5Om;1#~g5mOzQ?(>Kz_6Bca<-3+9esbpZf$+cqzGa)IXO8{tb(yhdAWdV zR?=`~B=$_&=yqf^uAi4lZc1x>F;OcD6sI;e*SCyr#6goLOzvy~<2h|VVj`lT-4XlF zNA~s$`C4i9^%f(qI!b+1HmD7$z!vq-}=FcHX~#VXmp6Fe4Xuxm5Dc|M!^e;Vw-Ljc1Ghzqc82bt2b`)iGR(EQ%EttFc`uNVOz+Y&Gw*I~ zfnWLr25Ai%48VdOJhMWP&=)SKCOGrSJ^ZRPwpi^~MR~MwI&d$!WyV;n;MyIv{WjOX#ZimGT zA#@RuUG63%Vt#HZGd^J9S?hi#Y1@9~VZfX8^v+fG1of$)Bi9toHeQ{(&0b477AG!? zUtZtg2(Z@<2HoubQ6SBskJ#ey4ghF?OQi_QfScW?PrjRe7#yu3VD zRj{A(m8A6abfh`qz3M7R^WlmNOhd&N6vvHmCl{izNeYLj{J=f*#N@cn-;)fpxB(N8 z){k@$U4lGcn=82uTANKa_{6U2nwtmi|Fc^51R3d#-*p!voEK&>$P0+!j~_ls_g5B( zK77$U7x4TnY3Byh1w@D~$M};?olmoD2Fyb@m`K2+B00l2r6{OL03g6U*8_AKnNp(r)@jL4`l_vslsEa^7u#Pl9Z8^S-GU~rH3NlEQk=F z+tTZ%Hp*Z5Q-65ax#kP}8oNLcv6=X^D9Fhbd6M=$t(N)z zV@d`v%Gi7Cu=MaBR?T z-B~}4x=D}s2emh?gx9j;*0c}^MkxBXr#_`uP590DO|muRQ-LPgm4ou=%Su4cO{=Ak}9Noc8*+{2Hw@?dS8A>Y6{$He%dC-s-KD4S%|UK2;3v7LHnMNNSm*XZo1L*^=kQ-H_r?d%A}E`0%q z@zGI>lnFfu7EG$u!54#(8x6hnfM&7Qh8f3rONz~PqM=!q{8m~Daa^0|zz^WSSzh5z z!LjF7M@8MTAg%*!9B0@BBnUuPfD_dpZLqzwqjmrO8FYOG^j*DYqNnG}m;~d{-G@Mr zW480!| z=|O7xcVWTvNSn38=2qG6f-VM}KMN`OAXbQqjuwi(e1vn%^WWoNA4wrD;Ni^bqd_1r zJf<(1ccU~>E4VVl3Nq@;moGqgFR!jn7<(EtI=qkVxd3kF{}bq&pJ1mQOdv#iaGe@y zXft!@6U<-Yd;7=84WJ`$JyzX#iHRthIfN-s%4hBUrV&qq1@PQ&+^{nZ=VP zqCicczNsn0X$Aa|AjCCMf*WE~F#|>n{SwmBX24t5KWur84!6BT1`^D2Kl1*K=LZ3MQw)m(k&Z_*?j@B5&2X2*H^_n3S9LB-5Kh(geP=2$CD$$A5I z56XgncnG>175hagS;$nWYy!k*y<5M{1h>FT9v4l`Tq?vzgve6ih}k%SKCbYF2w}x( z^Up!M7eG~TeVTHDnNbYL4D4accxZd9LHQ*gy7mz#GCx}t!8=iaDSe z2fGRw0MD?|{Y# zkq94ObZrK(PzB&NdJwf%8}Zti7CwQ3*Zgjeg9x}nL%#68xB`lHEV2QG3%ao3xMTGw z@Rq>ytAY=-=&*$Km4f^d=s)4YV2IPXGUomXjAC=C{mibkLXHCBnY?!B^9Ta)ZA&jN z2!8@BEkl;hKp|X=hQc+UgdHMe=(R~1*dj)RhjVdpou{CH9+tK_W0RAzH*Y$d`3U}< zjlBbHi$8&g5+qS`%gcU1D$|Gsg+5AA2@V?#y7Th#f^9nnrE5I^hb6c755qmmQ>Ye? z`+q9*2iHMJfeCP-B_bw<@C|(V0S0~|j1UBC*52EojIlkvOB%0vLkd^`xLj?03iwj) zOVj6}8>OqGqnei11PHjA?xbwBJi*oE0_`p89=9IPUars1&IX$6{lm@FdkPBQfD+Ky z)YNtGzJ^UuP*6a?-Nxp9ef{W{FWE313}qJ=F9RjQ{F9}C@iTf*sAS+Y`n%6TRp@uT zUy-MBoes=4T3hE%PmZ>Kfsw=qaM_g4{U%yea(+EZ@E3gE^O&t|Z zU7&Xq(6pkydR0@2b!Jo>Tql4xXC-^S^L88!XfavDW^0K;8F5%1T zm$$R?FJwzTb-fKFY9NeZ0^8c!#DE?P8hxO%)n&xRDNH@;l-0q*E-lg1(Y;IRNk?|c zoBjR@l$w-|H*`8h(0edtXrblRrG6trLzO9UKsBH;;y5WpIslBAxVLYIl@4|8w*q;V zWt*o7Iwp*dyx^*@bs71(s&E^;`HrB5`{1M-`ena)Q{lU1Cmh>Hl+1?ybar}Vjq7gi z!aieizf;3!V@B!l5i=uWN5=Gr@^W`@J8wMQHvZH63xGpCmoÑX8h9)IK4;i!a& zv!z;gvEx>2wd(apri)Vks;cK}DVzL(oRea$HCtbc204xR^j#J^D09`+s59-}alVQy z6Q9p3DJ#npKL%$Ha6lO*D28=!W3V#YlQS!$P<$#f1=4mjKdUQJdi78)#n z_}ikEtZ(dt6~SI+HjKSS-M3XHe1Y^fSC-7{p~|ayYR7f;!o}BMIKXqTIE9=b!%6CE z9_K#+E@KYycHkDwPMWhYYk)W|OUBX|j|HQ{#Agqzy~LBM|o zBw~AMxE$=*9Ww1}@qn4MT?4I7MnO&==xe{8Z~(o9g*ab`h>AWirn`6%JmclESb4tl zNm{UFPozTz)Kml48E%mTbZAkD*62hIdpw>`r$j-ykoQ@jHJ_0Y@i8G8&t z#I|Igk1`phiMAW45FgO9u(;dXt7HZG1uXS)Xi$=F1C1u`mAL5qGBnY4byY%2kZsp% zosE9gb09X<)&0h-of=-38kS*6kAO&S<1Da_((eCTrZ4pWmg#F-+1ml$I7yc6al_z1(6I`tOg*!mL6f|JzJigjGlk_mixwfYK8$6bG{zh6aIMgH6Kf4_>d ziirN7AJl&AZmnzUWh$Vps4Spo`^4+NPssoGqVV5~nmH>RiI%O6qm{h-6I1x8AgkzY zQGVfDtP&zZ{I_qJvqFn*H!nC*Pgart&PL_2JIVu&N6**8R^WfX2(W~Z%1oe9Tj=ly`I%0PuN7)>oLmO>%Xh!$tsMq8L)M;aew4QPWrz+|L-T< zb#rv_vVAO|>;jiY(bn4C##TVn*3I6_fmKvQ_krEXvvp)f`^>xPkuU|f4adIjiBQ!MZG8h`hp6qmdi&T6ip1@y8nMQ1HBKgGd9ZQuFq)ZB zmOnh%+G{=7DJh@&6tpuxh3tvfGH(nHI3W!$!KW$ZcQ*0(_0#0?dKSk(R<&H@5cuVL*=K{zMpKMcVYQAf7r;8HsO2p zS=|31|8Z*JUhF^Rv%om>!Cyy|-E&JH&x~uV=SRvnSJ!Nhx}UiIk}G48RmggoxGCIN z_jQUziecv;Ap?u8#z$YCnlt0Zvkt7`lsflGJJxV3FCvudwohyE3rEGm;W&caw3GWG zQjcH4lFnagY~yHW7B+|=v)(NJOB4N+Usf@uLs@a!B)Wh3gUA!`n->rtBucu@ zKRPx|NaSnEK%?L2^5`99WNtQ3Obzkapuy=!3Bfn7A55Q)ZF-d2u!|P#@A}Ve=f2-Zpzz z%tIK16rxII7ryTBLy?S!>6#iTxsN&r5=Qu{$}Cy7&8@*TG;L}*8RiJWNnQ?Di_7M~;2jp}0! zQ9-rj<2xo-^wmO7DLww}A00b0P3|~bYs=tY508r3ppYZlR2q9uEg$=gRqtcl(o^wh zOj=8n!Yh-e#dE74>HXa=uJ{vnbJAl}JJl82_f=;OzT5Pb#$Bgss*>f>mlNWYxEQ8E zPi(n)`g_KfiFJp`@}uh@0fldw`c=_3M^((*UUy}UJolFd;w2y3kc@rhx?;50&!fq! zY;M-Bln)4cXDVo^Ew8Ct3Yp+LD^PTO4lB;TZmk*adn4W{rJ8l*UGaqRcC{^SR0S*L z4R?7W0q48b?stpwjR`i55`I!uzf)5k3~3|faJZYCh`Oe}G{j97fwJWLzDrg6uI6PS zA@|00dF_=Zr4Q?sY=v&&mlAZBzrNg!b4wL|SMR7EHKBI>B0*|IF2Ex{Oti_8McJlP zwCi1eg$3A!TIPit_b5WcoY+Lo?kFBSY|Yr6-v#>@&#ifxOizE%vdVSOQc&_) zBL{M=WiozHB$I<9&5lnkCiJ`yvt#Gm8#8non;x5qHh7hZFLhpfgPT_hHanY}=$-s5@uHVnzt||4iaXs9bpH^*GQjoag7F0{_ z#`f#kb8^>PkBr>@mD>vVXw^2P2e7>+dZkRSY)SgyOu=TIv!cBc) zb&`4fA2iIAPIwYwQ$Mo9#NrmLbj$|Xi53d+X+M%QsL-Ulrap+iq%z)knazAZjWaPt z?zQZJF5C0K&_5o5XXRZDK4jK!Nka>!3>WL<-oA~}ftOo^lv;-UWnC@b^tqKUbUv`d zx7y^l^{yFK7L9qVk$1(8ZRx`OMQQG?me#`3Hmx%=*1E8I=R^A$wRi92){W~Q(2fxN zXdEPCJ{c99d|0O@uI0z{Ip+)e#UJ-ROYP zM+6Evm)M15jGsyjHr1;9eKS8jWb)?_rT&MhnFXJTfq*(AUgC8|{N>jQmk2&y8p3P6 zfhHt9XZ^D60L>~2;{*}F(35mR=Rhp7aKi3~USjStD=7xP zEnT^(se7?VhZ5fDKnvHeotBJyDz+|yff0ti<@nDYG@aaBsX0AKTc31$6*wPH z^rp+OILa%iKNd-rN7Wi*_7G zAF%hyX$|`(Y)SPOy1E8i8p_F+C9Upeyd*XM%X>-sBsmtRXrPyM0N%8LGB z-|#iao4micZ-U0c=>gMViPxjokN3RR3nj z<*PTfCO?Z7PRrfgIweha++m4v3znITIhs&AF{YnbyO&6=Z%FL;vc`2#$Crn^!BN_f zgA==d-p%`yE>DouiQNs_A-nvnAW1}Ua&&lc9*M-q4a)YdQ^eVOi&Z<*H@;F9fyfU z_C*~g#ee>c{+sz}dhuCMVIk>O)B|@nWH${f8#{qSkd!0ObvfNRwctdCkctX!@w;(+ zQ6m~Aiyz5&5BKRZ*J-4V`tF9kEl(8awf(R#7wHoE*ph;?LALjF^SCG@gfaZ5*0anZ zvN!P^+okj$Ht~#lgsZX5o^{U(`uy;Mq6P!6lw}4i_twX*UcEWwc3x7nA<)UQFij6( z#Vvw9$jv(6Ti-Wy);#jwP!=_itM|KQzM+!b-1UyR$a69CjYY$ohqESjMomSh-K2WL za{;g3xA`)}&ps8@3s?;dXhB6b$I+s#Xv97(`RXi|omdpfMcRude^m!8$Se0o43&Y0SoxuxDrsv=5^PbWa$}MXsvfMtP;aaKG zxF2<0v$cP%?p+1DsE{=2Z;@Xap?#!xGle|@_9n;#69_$pLw?K8yl8xoH6j^~`K8)< zJBw*Z5}B^4*K#3(*OU9Rrj1#W^o#VSiEN(0@uKS-3r~eAd+C|61si zzOD1Z(v<7L@|*YFbp`o?w0{R2zu&!FFZi+yjm zb5M1{O7gB%h-Ad>ziu{$C+c_)mob?){AA?jZvV6yOV7HljT-h`svyJ9pILl+i-mLj zf|Oi(oiN@(v*3ut0%@SzbDwja&*eo6cOAaGaJlRi^)a51A)<;fzg~!Tar;v3XLMG) zt0OYB87n-lNW!bB@%n@=w!f;yCEm*F_lLKxm0_*ZmnwyZh%{S;!se#`l9v#4($j8` z-yD%}He#;*lC$8e-S(l4^oD~`QdK&6T zvKgkN^r~HncbVP*;<*oWp?2)(OUV(|7dpQEU^F037UrF2H28=d{2=XiJMLRd>Y$=v zMtLl)R(F*X{?+%I8oD-`H+!N*%g|Xb9IH>@jmQp@m?KpB^bK`OE0bp{GU6{RIc+$r z3wJedj)*y%D1H{}4?iNzpP}o6SSf!1Z(cLIJB$~4h6oD%@G0&e^H#0xQeqfq6)Z;D9$w1UOlhX1x!IQ$ zk=wByn68;}swknGpT(5OsDP^OVd{%+JS-_1FFw4PHPXqJOh@QP~SZ@S)R z0V$_?n&$bzu{_Dk#Zr2B{wPb`I;dmF*7n>wDUz$#3_h2DGyV{K)0a@$Al)lJT_fWkus#bxfxDcW4ZgmSR&*>*R_x&tjIc zUKMm~{~y%7cT|*nlr0E?B*~x%f)XW(ghG@;G9pn>auASIB#|sRNfsqaj*_$F93%+{ zMa~L>f%dPtA3%~FD&e><5y&tOh*j;3056siL z#7WewJ4F3dLtA`w@|VM-ySd>5S(NpqN)PmNxz(swogU=3G7jB&@l{VL2pjNIa z&*-=u7n?&CS!Od8k5X{k7|BU>pgbMo^zF?LUB>qwjSxqha7Y@PZ1@$_b|l&+E58-K zwsk?Bt?*P~T}PWSgi%xM4L$GGmAt6Yx;vJp5`34XhWAcFjdGjLt`AQQ-8B%bPS;dk zn#k5*$_b61RKZ0o|N0%$9TfQU{epL7T6YP_2vfCXg3tB4RLb#_5pl{K{?D|lZvNgS zet;Ac{>r+j)=)IDf3s2GXYV@K_4J0)CqFp{G&VoQJf(fM;TCRtdr`Me&)vcE;5v~g zLsB~@^GnMuC#P^3#a#vtJzDWC`K51Lu{qy#lUP$yCrIC_M)W+gzC^?OY3Eyt+LaAu zF9#==4K+I+WlCH6ceNh!@pBSg#kAFaGu#7t1MWG;A2Pq4l(zE>{2sg?$1!k2s#GIs z=ey^h&yPySALV*tzi`pZr&9aViTYbE@^}!Pt2sLMZKu}Q(d{hTT1X@3>{9G-O_zzo zFZOT0aeqauKNqt(UNJt5{G~#?(UQ?L&$ARCvGUhH;jW!_n@JqahrCsr`gtc-2aVt) zDf1+wN>Z{Hyn}zAd^uD+!#B|QTX@YN^OQ{FeQwEPtP}5N)6|${poa?-tkalNW!VP^F@(*66JcIe8?LET5`SX37YE9*Ie5S*3*zkogCb-5u(!7 zpKkZ&VwZlJx4wEsUyHTe!S(A$?0z)og|E$D6GBO{f;=4duOp}OeE46dFNkhc`a*`Rw|AXbjQeP=pf;nqc~+gk{w@1#;Xck0pB#J#$MA|mEJBKFXLL0 zM{c)jjb7LN?SsqjQ;&~}3e#%#3DhJp?YizL^6G0`iJ_t9>+)C)t5^0t9S_Xgzh0)^ z2#TiC-<2XbZzXIcHjgHy<>5Md$}n|}Lu-`bLTmG4-%9%PKF2!`7GL9E)_+1NVZh`$ z&W6IyzBAeoBt`2&ystQC@^FDlhu+BSIOXjKU$nfs`qdqWla9sOzp8T_e{>A<@`qZf z)|dh{<+Mrl3?Cj2e-RGkZkaA|j7Y7vD891PacOw#s|xtv+#GIbjY*>aYyRP+*OpLT z%Ocms{?nTSG9S`U6;dG?W^xwhzdW{j`$`wOb`9tk=02F=HjEr-UJw+mG&o=nNzzdN z{d>4R#{PIYdnx*2!kt=WZ1x%M`=yk>34^SunJbXq6_;e1y)tEnw%-bUVYU5X5NKZC z+Wt7E^+;JmDR+ixBEGeWKuylmE1T?*oWGz8k4D@;WJX$^l^0tD%^P9L7ly>~Z#Nb~ z^zhlnzbO=DMi|^TGCH~}>+>P82>)5Kowb`DyT>5Ko=(*hQvCVNXy9w0 zv;VE4D55!8&D7}DuM?l2)V{tce}_%UOyU~JzQ|RTj=%g#N_O~OpwGRY-R!BRX;Y{} zgqTjUsL=3bhF22RuEvvXFKkb6o`hwxs~cV}s&nGacwgKo)A!h>Pd|(|Ye7|MdNTCv zsZ#1`wN$ONy9CKK{q5-w9|N6(+VyktN(rC49$nX#q-W z?S;F(q5SL#Dqk{f6J=5a%Ql<_swD(RDRGqwNl#9HJ-t9@vPHIW;V&`H!Sdne$DqW0 z1yp7BQqQW0RM+)6%u zTcimc(JgJLr2I`KlxGz7p&@|xhkfrtic(Pfg}YTUq)pyLAt%aZy$0R%kb3eyfI#=<2)VK}0W&j! zGjC4V6SAnm|y3 zFr`-*g?2KZ_)T_W8is&$D^cg@ImhW)Qz>#>$^YcPXDy)e?a-gVZ}remJLFl>;D=Ca z>R`&7%1PNSj5XM|#pUu0n%%ANjoFK#8{v|C@RbLsUx7#y@_+qACi*IF$Ia5mPMU`K2)vK~ z`+gMe1kA?g!=3PzAYmx$tml!x|=rz*7G3eifwz zQ6xb;MRu;sy|ApxjTjYg?-p<^XKew;RG3GY+8+W154)N1IHIDff)r&OVHA|1T_QC~1zL>q+r&4-QequVRNg`> znVaqv2{cLt31^|_Ip?(4NqF+a^Jq6yS8^s2{7HF%H4HoxaNKB81~p;5a^o&w&jJHB z?DcC6LKUVSDo_6Qj*e||yp3?r4E~YJRX*q5rKdnS%qG{(f6qS^2j&)0JwV)%b9=|7 zAy;oJn+3jEjPlCqVyUq{Kq$;^f?L5Qn~w|A9V5um97P(D06!$ljWqPVCRb<8MkJ1) zx1QU22iUNQ+OiC*d@Q9Xp>z^|U zK#o>fs>O6Wcgx%nyn5C9?09u)5LhhL(v|#hV_6%AhEzE~3Z97#MpdlvEyxPB${sy| zrg4oIX&9VDCK?Myd+S_(zRz_AMz3l@d{I%+j6J86j0|os|D8MUPFq17z$)0B3?!Un zpP+%QIcxtIwIuC?hkU1sQWKc4YbVqSJgPNU>FLLSg*N{qf zc;rmT4$-kkDk_t2&_lIm_h7^&%SDw)>bwGsJMtOcF2Z18@X{p#>vJqs)KbSNt#5x$ zhGiZ|+S4ft0u*SC6 zK)CK2J#pP%QEr$3f=aFhu}~gp#j+lajj40cihJMZ41N1nQ$*syJ&(g%y^rtmG7Hq( zE%;ZV0|Eo5nTW?|k3m!(Xa|$|k6DC-;%=)bDLK7-8O-DZQf8(4_3tf+YXak9A<{)T zfOzAR7Ld@s%%V>4eN74EDJJXaGbk8fa>R`@$qU<-v)ktfWZK~ zCB3;RDfB?T0IdrU(Wdl~xRz(m0aTL67x zW?}8d7%i@3ES@u-BzcZxswBCLr7DUX_c7gn*Pjm*Kt|h=0t%@Fj`Q~%BYXh#ctcoYhj^42vi=0jYO5YKT zQp$-mfqpqmk0}Ko?72x%Qc{|pF!?HA>?_u+!1UP6`C|J;QTotbAnFBpNYXs&zkb5i z($cbrGu7Z+bWkvH7b5+$iDDhI=U#0PgFETXQK%R;d$Yxb1sKUB$*l!@5^eJ9SRJ%! zMGszI(OIzqhh^hlfkrmI1Y6F(gaI?m0`Cg_MMK!A33&YfU9%Bdrh6sBw7v!& zlkQJ1r^yN<)%5jmEl_nQ05LLHjb!!Hz<_c)C0(jAFE)uUGRs-F)|SUb6r-|x|2ur- zH7#&&9E9dQ_unK8fV!I->8Cf>*v&nEd=Z|BE3ePjwu%Jv>ct(dY^N#Q8LD#3QvHz>edb8BEV3hsGQ`^MTSoK04=Hyfx;c>#=r**r1Wtt^Pcf|glo(70@88m14 z#qfUNhjHese9qki1J7Z0r<|?r+oiL>qeLF}!8FlM4ISqd*j}anaA_0{35_6GgpRm2 z*YDiQN6ajPuuVJnJaFsi()%ZOfP-b^@(Ds^0uhV_Z(^+<%+%LR-f5sf zlZ>_MC8!HN&vsq`iI4uaJ{JcP;*D?@u!g2FIELxc2~P%ka&pE4%fqNJ`K6e2h>esu z)=oh``V;y}5s;io{ekyo7|wnlNQ3-1F^KmvO8E%7V7H%&;;-UXz%b!5GC-b`>*N2p&HlEw2yUNN%cv_(WvFt&!@C&CwyIQBO~qz!#@5&3;(1&+F^_wc_2x|hJ&yfmYOuhjviJ^s!Kgf8J}JK%7ALuRONzxG zKW=|n9@jF=d46js5h#``3kgV^q+Y=*$+y45FjMY8|3*K&Yl+@qr^;n-K2iEq@fvJx zR-mpzdFX!8S#~qz&Xbd`myRL1G-tD02V8USp}4 zix#w=AfDmPPYDjjAMFL=Zy+b1GuQzIp$=iX9uzGFzU~PQvF`lIg~x+vhUvFdw?fdc zL|47!nXZN>NZSLJnKR9qQh%|2% zAy3Z!@lMNNp}xYwfZzv<{dIxW^eFMy1y{jdsJbcl&ZUiTQVt-+8n!fbX?a851UBI4 z{od3kpN;0YqV~P0_KWcGKUOlqYWq#cCwb=Sp5bxj##c!P^fQK6$Sksq2W@0I7vn`k z`r(Qb#s2)-MEP}qk`ki1cbGP^5u!fg>usHifCQal1n9tDQLItg$3e`S$94dV(o7@o zheYZy2~b<#rG0-S2Xio0gTUyG?_Gd=s#CxB)Zhn-&wE1^W{RZ&wgN!LW{s;t+ab{QS_DkIyb)Jl zt_}Hd+l*urYF*&bl|speU&R(ujK6&uVdvlgOO^7oe}a7fl_QYHL5PliUP&T(ccx$s z;!4Km4mY=D?x~`}C4dS$DcEx#(R8!&#k^RlCxox;$N~iEn7*A%ONO%}n=#czM`HSW z5-|B&Bn%3Uwu6s1-kCZh*IrxX3m5CeZ5L^k^?;mFAuK)hju4-1X*b_EwHvBK`44L zSh;0oNc3NSxhcWy$7|jez9Iy;zW1h}VcJciIh^a+(e45$T&9qeAXudY60gg3mbISs z^-JlZLY`<8JPAxQ4Ki2`YmA5mIXMMp78XnPgK#PcR`5g8tbqp95%tVC?NCAx$gL4K z-=a9U4Xzj>gsGY06G6%#G7vXJd)@S@5X7r>{fs;&m)EjVD7Y`mHPK9DOh{ys7_+Eo z-7W`65PZo6XDv0$RKG1)pZH&S-1lhpxgL?i*-J>Fz+4TRfsC1DXftvs5k@bf&p~J{ zI54nxlD47~s|3L)1|cFB)gGIWr6+|ll98=}Gt)G2Q|y}rd8 zdMDP}GLZ07ZD8b-*=oSUEV?9j1o7u-y+hz?aDP>Q$#u381PDXd7R!c1(N6)VnutKP zr`v4=yphXCzPWXgVf$UO-?i+g-O#0iA?tF#tw@KEFY4}* z7qKPS-&6){=~h`t3IY2&vD3Nx^XE$sWX1mFX(ni2q9hE6%={-%9r~#L zy}i9N_-=mun+Ur_w0I7U5VJXq4!>yniT4u>(oNRhfzv|(Y47Z;2qU9!t?JG7=G`lr z7T{y<_AUzN{qil#R49(fSTV?fzyF2giHI}FRQ?MNPI&nmMR7{03txkyj?akiQq}E> z*})(rXXxwKRG+2V5qC3BVmZ}VZ9u_7#>3=x!vF}!Dw#9qI0t!*ywQeCmSXq!?^Ru* z(*sAg?Cqh?T`SAW!vk;hUV6h~&JHl}2(cYA9ne4VE{=rlIaxtm}~7#n|!z?xe>91@GP-9!XWs&Y>b6AmCxrW z#=~3H@@qnGY5dMxFqfhyf}w2ilj{2Gc@8EoLwde`cvWU|Rke@|PyOi zbTYxVg=$Pqt(WaLxa_qLzk2m5vK}|?c9WLpRDc+6f>Fe{iNL**x`!CeO!&k)5*v=Ebl%>rvhS@OduQNzgQDdiV}^;TLLybNI2({6yFKuoT;1C0zjF>dA-8>o z#^1AO8Uc^5TVSQNYR~pIU<&H*PKCPOWk_f0#leGx)CUu*mA?1)gJJWocg{L!SHt8) zKf~&8D^k+u;zy_Fm(tGfxS5~lJbWH~5re0Nyt6|}$Ez1SF>CY1R{9P=0hpJT-BOm4 zYHvOJ#fu0&b{jMl&5@2+ujnQvCBfsVz3JL1mVsu}q`Z8&E4ifts!ABltP$;dF+UK% zHRo2Y{!ESyKkpl7jVSqhT+9#nqM=S6V#`37zQ?;BaYMWXzEU!Bdb&SoY)w!8TImF2i3&$U_Mn80cLNx3FcoN>B>oh_T>1utVpEp3|2~ZUY_CFVt4j5I>N{GrqbiHSnr z0?}iBscRQ#gypTkjV}8>Cue9Z>?=hy8O$(#s0hc0l>DFL`>ln)etqdQF(H9qfbaJ0 zQrJiiehEW{0@D0YhA-VXKf>n>PH*qx)vmIjnEKM{IH{MjEg;*+K`Y{Ni__0yoMf;@y;MIjTb5{DHqY3G=qG?tb#ySvhHS>I>%k|X zlgT>;uzVJS`&}qOzzcD68D6{9zSNWy3y+iE6v#PlgIzE|OM$_}%1q}K7+TU_0A(UM zA`k{MQSKM=!&PhXJcivkT3p0wEyKk^Xa!(s)<(!)C3_#<@RCu0O6|Ri?_pa<$E$45 z%?X}A^wiEl5}U-QM3D|_9g*g8v!lokoCYK&O~~H7Fp1#2#li96rg<_JO)_7g!aLBi zi)RLtnizTz9qc&=E2u&ji^H~ofj8NnAa&cwK;s-_B%SJKD31=BNQ9~mesJ&2-8n|K zCer9IQ1nTSZ=cW1$|3LPs+{F*3_ChHiu8o>n{79XFc%=!_L5bDUpIjmKYH2wM!sv2|I^5R|x`em9OO{_{RuP zk4&<7P7ppCD4<5+Pkr^gD%!z+eZiC`L z8TP?O90_p^$aiaQ8tE-8Ep>LOfk64m_3E>2V5IS|K$|m1!>>bF4E+A($ie=p7~%_5*&n8 z1#G|Edji8zS+=f3*jZB1SuNtP8~+`AEM;uG2p$EeecWY&&u3!Kn2i9`Qzc!5X`_d; zpyS&!Fi`Rq>*}9ZqQ9F~;IejoI10eL4^~he!-6=7oTw6fxx3Fbq-1#OIV^NiNc#u@C`3Q*}-|7i6C=0clC!?(#YRGY?Amc6p?4ZEL@Cy;<+7 z6^~?Cf^HPi`$@W3*{{W!fl?wG8thg0iMOP-C2WY}sZH}-7{jvQ|8^(nSku@#82ufm ziH}9+)*55J^3NZeC!mqo!f!q?^PmhGiCHhg6RTZ@t-UhQYu8N7OP(I+LL>3y|L>22 zw_V2Plf`KNtuay=`vcuqBUcgDdiLuhIWSY*amdWhj)JzHzSqahZ5RCicQr1}oo&@R!HPp=7XKEa-4R=WX*>`FAzxMI$o?ga1_IRJ? ztO2{_(hecC&oDk-vpWA%x_FI5#t_&33BJb?CfVE;FkYuGTsv_cze@Fi$p;=7&1Q)T z4hV?cgXAO9;cK`oyHbKgbds1ClJk74a!}3<49nn^Al%#E&vDL$JQCA!krlh2YVZ2< zNsfy%o(0sagkr+NvP5BglSfcn!v?(9~D15bZlSJ8OvCeh?B8!YG`Zn;U%&w#E9} zLtB#2)sX9hu9l0)ZFn9bXo-i^-X!u~P?}ikqOh*=|8!b`#J~zahg#}!^(sX0G0^B( zN={6)9F)#N_XMx?1L&f}9A6~sjKKk;yXx$}!;3A6(Pk{F2FuC1%5nA zM1bAMPtL$Xr+)Ks1!}M$-1MX*A~q-y+1Wr}zzRQ~018Vs;f<9CGB-ijIdTGwzWM&8 zk?Z5*^Ww!0-5o1%)*D%dW-C})@-3CA<)LA`8;(~q6g>j2c29nQty|yAt*K1o0HSB~ zshqcN9sK<127QRla!@l4ux=xLmVv$+2bfk}LxT`#0)pD;P?6i&sql1cObkrjZh{Xt z2mwP01=@j1UH9JoN5S6Jn*|B=4d|Palao_X?Sq)a6>4hjm0f5$Leo7_(2~*~8lziPRaH=J ze?G7)4cK3_(*u7f(+Le*+tR8Va_5l#M5cd*Ee>NcyNEFv-HR^;Zwq7!t%VGP_g4s< zRgB3iW{&q>)D2%u|LO)zNE#%WjN!JRT#&QkH-pMS$fbW6^N7Q74?Mc1V_u7kr>68Brh4`4oGckIy}%PADE;H^ zh#G;&wp1{LuF8HrU`CV~G;=vwl%v%P)j_L2#Ko)}jdjE4lz$($Z!!_^SUt}Ja2n86 zZ%)E@mc`c|{c#TlrBy_3-MZzuo)drTP_x-i)L;-xNcEDZNiJ0})dN2zpy&0Qlh=ckHm|MDn$1__K z38;wEuecN*Ht|Nszz7o zYFW!k7^=zRw=KU3!1-vX^IIq?bsC&F2Nz%gc7{VG{ZJ3iucUU)UpYd-RbL8p6Gms@ zd4_Q3qJ1MNwE%erj2*&nlC}-G5HE!=64TS?4+e+oWnm>1m3Y-;0gZA}0I3BbZ1#V? z+Q4u8A`@x_8H=6OReY8U=nN=pmD1T&(oB)m*A(EkzY;Rp=m$~nKvImHQYM=hRcHYM z=EBJvFxaI>=U}B^5#smGJn96S)j-~A>I2i@)aTFEkarpX)4Lc2p=AED)6K~;#jRi8 zKH?Y?=Dqb+lu@b+c*>FlBqxF2??n;LE8yf!>2BhZZ*=gGH~~ooGxp)zP;K>3fewWQ zqVgZOF*hCP7oi9oa>c7p_-~9}!ycnm?r7Qze06~dx`SUnJbU$lUbkrI8Ki0hNGAse z${z_SDN4jkn@TX@J0!r&>eE)o^TYiK*6 zG!NZDnya*gWuExGwoN)9$$rzR4qD`uBL2n%FX@2_!4DeVfUyj{vnyUu)xH0@5HaLT zF|*$}T8t*By}&qWK1ggVl{zc{g2>SG0@3@*?mQ4D9m<<3dMRkP7bpTs6I3|4Xjlk5 zIu)!nxK}+twvvliKG>PA46frULZ^s&Xj&NNC|sVA)kSsU8JdYU2SF#Ok^Y{Y1hnBi zJoKOqck=fYK3V?{*M;_r3vc1=xrwJKHtBtGz-h0cnfk1IMOBv#nsCrsF&AZ|glme2 zJd~)+6WABlo&w_RvHK4WAtZ5pDSz7N(%D9rN-JnV?p0i|(GNIPz##||R=uf0Lj(8? zt@iYzQa^}Bw}JHoJw&KqlFD9zJVAiifY=lMP|v+laK$h-0%}Vtt=hEnO;ORO7KTs) zV9p+-rSF;>ImpI_5IW0#=i~KWM-7cM{rS--6|8FE@(JSSrI(;Q!O#Ce8x^p#9jk## zn12a6l_NstJ2+r#N$e(KfPhs1!R@Ic!KF*qBiSIx2|TR(=?+fjps!#|%B`NyXVOi4m0gONFDyme zr)BE31Qzi}8_mCT*bsC14Ba`YhSKvG!bm?#U{?_wWmbKwz>jh}+GQF=L5^|D?w;3)Q|?`1 zB#wg?ME%@ZkPjtC0RICR5XQZ6mfl7yv*8XH;#l#<7z40R7NG4j;t2a65mEG^u+Q14 zfbf@cli&pI#Chv~K*ffI3(RZviX zI5=Q%xcfORDT(XTGhiapAA^H>tnEYSR|@GJ-D3#;7>7cX99 z^m?|~_85dR+j;@)2JDQP{Ugxxf&v1_$sjy1hSPkVd+&n3EIK?T$AjeJe?Ci-%>dTfm(M0} zY2OrjHccUusWJoon4RZS&;?40?N1X;7P1{LysY(ObMy1NrIi(hCR!1&1&Zl;{jm)) z`s_-^ILLA-&0)9AK?#F!5v1i+3HJK>^obhaT5Sg0!zN)D(D+Fh22fTLsT+U?y`o4m zeBBzG-giUF=1VgI<+eSzh!ZN} zTXoHC&(2n5MfK!KE3?y*@g^anM%H)M(nW|G^O1Sl>m3OMznx@tPy95Dw z{7@v+O-ht`2@xicQtSH8s2#E=kz{P@Rg zKwG^JJU{^df@I`wj^czKfsA;fj@%~k<3bEOC8mFuTn1^2zJ^I z1H=yZrB_p6i3xPNMjvM9;nQ62nw?FarKY4b2IMRfn3KOV8Xu^Ly;AJz#r~%~*dKP8 zJL9{gDXu#MCDIXCVSDG_zI}sY=ol#-cQwNquQLxq#DP)*rK6ML8gY0}&jh5gbDAfK z6sN~%ksY};B_kuo+5&jiHywYYQc_cS@=0<0ktVpTPC?0^oB)`uVqD$bycJUa-P6d#xcc#| zY-e*=5OL!n!+=*^phP0T{rrgPQR)W@Lgy8T{;E<9{J6R35M_7yP2v}iOy*{u-w&bP zxpSS=o$0bWk5DAT6Vj+;1+ofofyuUyi+lQ{Cpa!9gSO4u#-@($W%JNrSQ41<=Y0cn}IxdIyBLriWS z9{9MpyI1;Hn`!3SiQq+MD-&>#s7iq*Bos$}xPXo8s;U}|o(zj)ny7ElSWv_RF|Fwj zpTKk7cdJ87yxFX#f$z2wZd?VdzG@G!>-amaS3xX zAbHbQ^h@_H4X9#pub*may5CT5zlq-Y+87@@WKA~wCaE}4aX#X*0Hnfd>!?qi*P4Gk zS5P2ATp}EV^gU$&NE88^uR6Z1ozFDxwLKGPOT2^(o^CphH83_#DkcAgsF_+F+&B;tqfBW^G# z)2p)hC{{iXc_-9#Le>-EUQWstP%T;60I&l)c8)kE19Pg9V5h6%)zd!&yrM;rl;pE_ zWhkt@SFdIZGM@os!C_yh9@^Ks?vM~qtAa}Gm|w%N#S?l?=k<}mo4NFf3a>#=?JFb{ zAGtWC(IU;01-!1cOl6u#LuN{8=UxmhasqVyxYu z6l|=yNCZ~fH3vK_S7|gB){7omtZyX3IHk7Jv9%W4t;b!2mFyRiA`#!(9d2uSoj&{O z_t~zQb2Si*Hv%ESi}3;5WT0;;HhL;4h4a5Y{JFckb3FMHVVQX@fxgGTL_8(mP^S-Q zP)_ps@u1Jz3Pd1v5q97*1qS}=DT62g^`1S`6|Wy7Uz^U;AE56EW4_Zz>!w)nBnOD@ z8X_PKwE_lniK+9D--AXg>JxP&0!=E6K z;nE##=#e&HrVPBHFFA4Y24|KLmBQeFwY+si z!?j)JKpnyPi@>>HA{B5N_u_y$R9Tx&Z2xNFY*+a%u|6|3F&szGxHAN^2K3-rLvbpO zbLDH93;&Dxygsd4^49aCS=ol1FLq?5NwjZMQ#;`4PgbnsJPZdbnytG0{Cq;H?l%l~ zjK5Xon5z(}GycCn7_6rM#MAq)f9M$`tIJV&N{Iqam0(?cu){v4`UmvFfMcp5BKHbf z0|9u`wJ*}0)Vz7$+@PRXNa(|M0vkK|nT@KNC8*Kq_(+zBA**IHllr;XwQdg3`~9lN zzB0118!s~?fz#r2vb3~xFZWDJ*k$W#a%fpu*~C*;1Y)#U9ytWPVe`Q>jM?Epity-S zDfF2Kd!>D>VO<;b9oz$TzVYb`@=)y&C*U~*B|=xwGp|Uux)41B%oh4PRpY&$PFf`S^QGc^(21`+g!J*lA%LREcO`FQ z`Z$#rqhZ?O8s;)O9J+x>WeWtTNS6+{8<K;C5QQc3-&V=?e^Dn_)k zJo6CtwkaTp9#PW`l)Q)m>1%GlTUchB2im-^B_ryp8`{*&to#t1F$%uU&g#t>p8bv@ zZ9<6(nf1j26sYL6Bhc(1sxdJ^GGcyTQrwqnJE%d_qDG*VAVA z>gmyiBA@fKy*t+^C_dJVgI}E^bg%LHvEib3n?kp~Hr~DKgO)49>Y3MoCP)=r0(1y@ z2J*DajrS(=yF6M$kD)=WlL#?@&jU%Hlb5$?lamcQEuIpNaEIr?@WWdwKjxZ0&p@Ma zKr_rCQx_85{6Tnv+MfY$$;LnV^QTgForU{mv=7n(>XR`6iy_7d0jMb*^F9%#Uap|) zI@8rxPzduzSHXY+W+^2=B_MXZ6axb#;3E?4!(s%j?XgD-s!-y)odY51x>)HWnJ zg7!fvbt-86X7_hBy{I%d+4@Y%8PfH^FzitYbX^HxN6-y8tzS(mf_@y_c>q;Ae;{49 zv;kOwxi1Q^h%Pe_J$`Bo^Dwxd6CoBSiZc9ULbZ{Iy6*ZuvRwb-0@mnzlZ(DoQ4T9W zgEm*e#Zs4>KO$#A8MrOKgXF#n=Yu44w}G>iLnAei_Bzu597X{Tg>8ziMrMXPr}mmY zXgB@Z$T@$H#7g+FT{|x%!nNf9TPyTG^o52nz$18TtxsnWUahydj?4Y&N_|A@noOYD zvAN18#+#)UGt!pgckZz3Q#Kj|OjFqtf9xv{ROh{HdniM^9K=17BR`3M#oUWf^DR%d z`^Cn%cn#aS_fM0IHWfcO*!ZPjud+{_P!`kcF{0Xz32Ry~KhQ&K=j~s@3kSOBfR~c~ zKl`Ke0Q1V|8v4I8xl~}l^<^vcY9EPKmEtU{I_MV#lUy@Dl%bb~tC8$_(yLxKO~oI3 zt<(bRzy6O&z~t_SrLSX0Xe`DLl4Y06m0tOlcE7~K^85GO+sSw(F-}CqcK8l4)`i(B zyOD&SR9OjxCc%GEIX_cD$ojwjPupdZ8)Et=k7X*^7v-d`XjG3 zC+AR&l}icpOd&8AvM`}#>d{%)1bn!225|j>86e8FIg^scCM6+ZhlEx8L=Lucy)TNP zNbs!(Q>a@|&Z3u<6Pc!X+1MVOS5HGaib-910F3*E3{Alw=AfNQY1$`Aqdt>PsB zNichP_;#!?3j+>4(y7PUF^HH>Fk~Rz%OKVUhN>VNNb73Y|NR?vcW1u!#o<;R%*$La zs)d!|b|9Ay;|e4^OpXh>Vqa-Cx1A zRJ)nCY1cpMwJdt-?XuE8UKbbi%y^p*qKj6QY|ln>zI`*Q%;~UREmYLF*m~f zt8nMmC^X$2i9*zv2=D)B+!+~w-*n@svqc{r9ev;maEp+C-K8r6xX(0VaTCUFil?9v zHgiPCh_XRNA(lef(;)cM30fFE?ty)KAdUJVG-6HPk<#BA+FIZJ1wEji$>IY6b(b_S z3=%&-9rYE4ujcjB9yeYtO-77VvJ(;z7@fz>DN{wCj#@iOJv(%|*Vo(o6I>LBuF%n0 zwFyQdYG5EP;Q=}l2w8PbXaL=kl*Mk{3fEn$ORC18#^x%KmyU0Q0Bn&g%J3GGNKy%8 z1LE^9>!8NU{cwx(MVW3jS0jc*ufO_D8|n~q#P5TH>{hS(WAQw#7Eiaz)t>&zv&!nHaYgTpF_i`SQHu})ssb9 zgPpVv(O{WD^aWapke-B`xonNeesX{&kf0G=4{hx`U=S3+uP6R*>PnUx;L^dQ9f+Mn&2R`P+94Tc zTvf=(+&uR$4$*fI?9W@3aW}`9Swi-cD9Xa_pF%MLr5AK)b>LPV^U?v>@mLY$j3LNe zLVklw`g5?ZmML`+I&MNnP<#|=6bS*AsOP;B>e2@M>UtPIedBx)A73Ty{xPHuNa^9X zm`A6_fP!u$q$U6_H}G71ON+>re1*5Q0Hdl^KZD;u;XVPGJ)ldvrv~!y@+IAU(PA62LZi;ITvV4#>+|nqFog+J3`uXcu>K*Gi9-QlA|umL$JxlYN=1U{mDnYoWrbwe_<4QI!m04TmJ4ZK^ek~0{g z;2atO1EpV%+BQA3{6LD_JP)skV}1~3k*WiuqBZ0=K=jgfu4-Qz&PDBHa33AG$h;FNd}7BM}#1tWun)c3P3cDpove8U~G|$nHU=w9I-b6>&W* zz3sLWnjBf6?nU~1Fp)jovtme*9aj16Eq9k^J+#=`O>=q#0MqPYW5R|AzWP~0v8b>b zbUlt$50{87ztolQ30Lu>44^>pxS|XRq->OrH$>=!#BgQ;>Kx#9KNt#CzY`27PI0GdRoxVql^ zt7Y8={iDrzr9T*ZiX6!`qhcU5=t@UHG}2WXj7!m}wN0JyWmAZSV{}9JdA*wwU(pZ3(0;l(&##5$s0GA94Y(@b1$Vctx!5Y35Jz3-STqCRs(o^<7ZB)tUCos z2v8m9!v=Z$ccPf#tdsne@QOLOwU*6ql^^u0%juq?+bZ}%!vbGBptQ zSh{{$Eo&TqqCo8v%T8p+qDc|7nl-*A$MRBthRUQ!OyTS>%nI#!v=H1kyp}CHT?xyl z_!ayG>J;74tj@}rc`LNj*3{!_+0?uT%&Ej9i)#U6R;b5JDE*|3xO2>`pSuLoMwRsF zBmNC-FC$2}-;te8ww`q%;f`$dGThmAHIx{G1H(?Lnk0hk*P zjxq5j(fI>AD$VOq=ePpSpwMx@C!O*{Seg^%j6389UP7<}G;EJmM1RGKnqY{G!nr&s z{E;BjOyHjuzY-O$1YP%kz6#-6{Sd&5s-_5UG$eBmoQ+}3@uJ7SoPcFB{$JSRsNMUa z_~F3EM6?nyK4xaA<2K07Do6v-iMzXYugxwCqSWVQiVRy@nj*$0{WMl{Pn&M!OQGuo z$KM$K+I(=u8>k}VzZKMZ>s9j}F%;qSl__>$Hacex{ePZoeX&10CcTlJmOrI%h?FQX zLL=Fw{;7%lrXI%=igvJHR?77VN+WLsT+CYJioObaLGtv(%Y2QgZ*m-lb1_mbGloVzv|7JstjjE) zpTlp&{m%A^J6p4MCM6((nr^T|H+==R` z6{h1UgruY`fEX^{k0k>Z4FecIPkf1;FREDnqYT6SX%oIqEyvJmn}|V2!wj+dARF7o zr=Lqng}-mW7-p4_c|_BuBg_ER*nT|$Khcr(m8f%d*wHkRq9Ex3#Gw7f*Ss4CnNLTI z(+Y)IS~GGc?iRnJX|CFDAir+aSpQ}+aENvvaw81=PjvjiaGZ<`0URKg5(k=q6bfJT zZ~y0}_Dhc7&O^zjKed`v{8R!08b-~cuMdaLt~XCb zB8)#A5xMx~xA?nnj1{!Mk$tEN;Yf|t;`46~7}UNSdaRg$<8H(MSaIbgNJ6ghpO0mu9i+QV+#nY#^0YmjK3ICU5v9Ej?)c{j#ffBagsj81ki@MnC2Oe%?hj^;8bWnoq(6ip}KYS zIp`eG`_2$=g+|LoJ(ZFEMQVuRtlxq?zfLH z3A8p=Fba$NIu%tV^mU8=yp4l_2lpcokpO-gFUir4&pGrxzn;XmMSliTvd&WvrB$r& zK={T?F2vnnkoxfZ?RxoSmAqn9>#)sbhWg=yB^HZREUvFDUdav~r$;{zQic%HgM+}v z2XCel$9qe*{~^l%@A5i(e1N`g00IKv0;5OPYK(La(1*r2T9=;M&Ru*SL`*C75;~=z zaFMPH;3zo-h2-CYuZxaHBOgqmlltT{G$5d0l1=@@HN;p|zP$2u0UFM_V{qD?#OP=slS_9zN*3CNr*o2OsR{e#!4eFJNV)9*=d?J5r%@AZ=Y_wM zPCZ~s^Y|fL@Zc;_W9Fxh%JCqdeEg01DoZMBSWDTS+AfwM(2$hlf!_A;v7HU@${d_q z?_j1E*3>^X^zw?>JOeU0v_!9T;NjsFdb7I1q<5b%@l`&Fx!`CW>l)xg4S7v0oHL@n zVE>trc!fujedYLU@%#4<_r6vJMlXZO#bDw&pL4GXVOCZdt75&{(y_f!6>-b$ch@6$ zdy7sUum`=3swy<{Qulc$qV=cGn=*{i4V8Ln4RnvOvL8ES15rz2nk_;q-A`{Zi%5TTsyS<26Y15ai$>u15T3dIptXrL{9 zF;0VC#1)>cc;vhInaa9DhIcoA#jb|0niS{AMKQaS(bxK>*yF=7<;u#Zq7m04${yyW zBVc70#zd_WTYCZ&t#j{7lbhNvzpclG!lN*~Hz7$R=m41|)c55s>nInX2rO62mC;3k z{t*yH-&XI;RC5EO`N8Y~c*Ar9Ha}ZM`sJEKHv{~@5-iI-?xM9NCD_)NJ7yf_I=$N- z-9b%pk}>cAVlG`xbjV?bhOOd*2fP>7_GPEs<4%a%x8HuPx|bMz{FxtE%iM;KlZzDTj`MV9~5&F03gGJPk@8t^n#f zaj>OoyHOuDt%HZm)?9Wr>uZnYve}`5*7LtRd&yT|Q)m793mlkNRt6KHc6Np^2JhIO z8v7}04iB{LB-l|HAm!W-XJ)|JA;`AIV%YyHEXDWR!fH@?6hxf;AMCw#RF&=4H;RP? z7?dnPS`Y*UU9^BAA*FPebax|Q0Fu&33(}p^p`?HyuxJTMX#wdv^X7Sc_I}^%Q(Q<~8SU{^oBk)Gabw)Afl&A^HZ3qu`OMeCGiIkkffv1-e?J zMEKTj_20?UDlX(o3&g2)Cy!sE9Rvk_-r!*imYwmpT|((S>5YxzcRvJTFWwX+R481^ zh3O4aC8CmKJsV@0^NB+tXMfWoQd71X9N+StPUl-JWEG%GVc!q#ppBhPD&VJ{$|UCJ z;Z)dK3lGJ-S(B-2jSXhO&aIlMHSRz#!!7+nQ>&(n~juI zxPt_Z&ReM1oPzjk?czm9_ck;)r=_NL#Bg->_eYk%?+ia<=mwt>$*TNL&UpiOsTh1! zqF@{}XDmQUh@3YHw_Ry|!p(e2)f&Og*=KN^X5$>PXZ&R#hhfvJaaNxLWVS%Bra1E( zi86O{SFN!#B-%;EHX6;!LEs}Zk~8p2y=I32Wqg4lPi<^WvtivqNz(5YJ)^S zNb}&f@hQ{a=M}^)5vOSW+R+h#U0jA&{#(EpLR!U~hZTxkYylst%65S~BewLB_Ea2h zS5n5^z2f{e4WmN^?~}}bXKnw3J^zm(xJR2Z`!uu4h=rTg!#;bZgLRiz?C;pcgC2Bv z#DRlG{rm+tA72gJdeESUj5xxkV15#Zq--&JKVB!M)O2j;XlbZur7q+#hTeG3EHLy$ z3}Ew8Af^K*%M6Nqz#9O6icvjWaigeYa~;V7bKT`w;W>BIl5m%Z&sa7%a|X_SR2Kkt8fQ z&DVEsOMs710`b=gIi%bO&NiE*z-V!4j_ z3-x%S21|<|@dWI#U2?-9AX6>;jsjdqXa4rB9bIT?)-sebE87j1CceQ>0SI&S{|yQT zrwLPa-ui*Nr0qw6?p$@A@M0xw_cqO@b@3?p z+ErS^Zt5%pxC|NG>|B613O0ei)K>%EA-+LNJ-E^vr2<2XxJdR}n`?4%GBiBAaflv5 z7SzSH^&2^?(_HJ|P>KZrgIy~C>Ox8kIyRwlA3sLI(2$`dj72@VM6JU1%lA1h1q94C z>dw*yL_(h#T3I64F_iLTPeHJ#L*yH)4Jl<%3hV38C@tVjPof|#4q*`25 zRBNMEGoB4*tbpDif<+zbD@rM$aMdP|f}drh>q`_w>Vxrv+|ZURFJ=mm>LPmUdCbis zgNDd`v?b_aP11YBYA*sr1GC!$=L}qk{1~Z?IlbwGZA5S6{>{Ltgz)*X+FC$d7l6sU zhK_+ocLDA*POvpWdVd{lP|m#f}zx*Y;fh@D$I=Kz$EZ3BX* zvR|V|suE~>#XJGWDkBB7F|!+gmyU2H;?pTi!qxsY9#3TLk$f)@p^tasRCD)QED7tg z(9Y!0oe!rB}fhEECEc%VdA_`OHW^P(&!9ie*=G7 zoHf%g-pDjhFW&2s)ke^G^92}eu^+T4yg^I*Z7{a68dJk_DJ6^bHX+KVL%S`UiTK(z zCGb{2w*W~X`-I(nR{Q?!cm;xSXj|(89%##AGh}J7cCA*;`$O#-L>%C7ffOaH3yZ7t z3%MJF;&d_hiC4QcNQjB)1v>VZEZlqC3oc?wZ~*JO=)-3gsF}k%e;zb8B~5fn07!(W zuG?my+{WNl? zSjZq_1sfNL{grHM@c33U2aZza?Xzxy9Q;gNZCR-C(&L%w(C-v1UkWL7jQ zE60D5Lms&#D!+Bqy!ycZ%@x)+KwExU6J=d)$a?z+`IfKcS7mDI6fd(YAIM5}qX=Y^ z^Kdyr224d&v)&L<#l$EHn=cSsD>Li62hrJd98z*mQNP5?;c6Ts<*&sv*y>!}o{hK3 zoPEW*e1F!a!)c6X%PFpN$AO+6$*hkzLRPbz>?=~Z%z}$G&dCzFz~3dOpfIG4!m6{P zr9`1n!qnioG%R;xVRf+a@v&sAf_CJ9`Z=s|k~_S-w=%khhKwDkusjUv0Ar^`yMZGQ z)d&FDL#7l`W_dL=ZlveVbsXCNr7k1VdBwbx~X_4<~q39k0wO zEUoJqC$R3k#b9B*6M<2-)BI0=%|Oq%>No!pDc353lf3-_7C54pT@!dg^?X7)p!{WF z!TYhdr-x?29jH9K3V2x3%0Z~BM8QXfHn%lv_GNIu{%cg3#5h1AT?f+{5)rWp4n)Vw zM_M-BuYd)_jP;)Mc;T2PjA{9H)>|w9h}&Cv=b(Ty(8O%@y$qOFa`z{YlQOcf$ji(` z0C}R@8oae1(x9|10jSY{x!y6f*;-a7S!|yaK2bG35sktQPCNr&WniUrC0r~3HiVbt z(R8FH^cOCJ@Y3gMn{P%|mMjf96UT+|olS}4CJ3}P0U~LEqB=sRWIcEHTJ{A<`oX;- zYX)Ur@i8$>d9~XUe!x*um4qF#0lYell5i@(4m41{q)=i$!mZ41DhN`~zZ`klwo3Xn zLWO?M(j=KP$Yf(Khlhu=i4$>@3qhp;RXtG@9j+RSqL7g1E`hH<4dAbgPRR)&2?@2j zt*RE)5OttP{3RqLTCzbmOH{|n>Tus_XR+`zRExG)V0oN@mbVoZ6`RW=G2!8K_d~{3 zE}cFJMHy?g``^9=jr2;^?_^LN6x{=DG(>d|?r-_CS!cX|`xagr8yH|PbLG>Nlnjsv zlTwYAmzOsi$VX~RVKO7jq0H|pNujBoUCH8WNF>k0ltq}sTR|dh2Shnj?nYt*#SJ>^ zvJO0@eT6ya`H)Tg@S%ixL3Q$|Hvr&M zA)PCxyYjpK#GrWOMvDj11KGG0!SkgA(o5M+pCdgdN`LzblKgmuE5s&>y9#n@ZDQ~q zpaRV|S6AoJC&13k)jc$nIqH?-^*WIDb4$w`XQmHV2V-4zHxyEuU~9k`?IlnyBKUw! z3B$HOSFMp}Th3O9lny~f^v|E^H81?x0Cb?Nw9kxM+%`HY;_(%1LIuw+Ea)wRSDKuF z*~|?GfnuZg&xE*boS651>|%EtwKZtnNtcvX4L;#lGYFfkm<4GC4{+(FEKM)=!Z6#J z0(OEgoM>7yz1%O%t>dCH_2Zvao*P5F!@s6NF4)tes{G11M`jX)(!Oy7Jt&xZvr2#R zjsp_=b$m=s)pv9pkZ^e%l$pt>tP8ox)m*bwvJlkO)1TZ!4TT39 z<&v>IqO4mEP|~tGy1I|_g*0-KSr`srGtej4&+NWB3cdRz018hG2V zsW1|=4@hEWskTVE0wB#+lfpO0VS~f0&riMa!eEgJxkptmQW+Q+Kx%7rWozL{3wP*J zXCj+aKzFxHl*@E0p_#9(7r4uPEV$8;n9G2NM__kLj0tdS4;m>(KIx=G)1H${%i$N% zsS>)W!KV+H@4)Vec`4C{T}+VB)CPdAI)uW;SRK+bGStuW>>o_JA1)zmiU@=DO@mQX zn%D1c*q)KqCUeCWpe0%Pt=mk@VQ6$T7uBw4oLkA}6Vaem&|sS-&?Vrma}v$B<+vqa?{%@@E6sQ%)40b%-ds$ z_QFcL8V5R9vrOm)fEwkRvmoiH62}c@EV(6(m<7s=%m^X>XI zHg0alxctu9o*C$EABxKNsPLw{c{2lgglA;Tftr6nKmc4mpxGfWlD^1tx$bD)kr#B% z=k4xX3zI4&Mi<}!Kt&K zpQ8q9buWNv7$MoAyW)DF753Yb68wr=9|1sE;vR-{db*Fwo8KE7gR1eY@PljzNSuEE zu6FR%5J)1Bgbg8QSJ1=$;Cy`H#jBkr+<(< zM1BVTO3EY*Ja@#i{VF`2Xkl^jw<9ko!9(fNNY{Y+`zy$6C@3nz@}}DtH@^w z&Yi}&?#R>3WYK#m*bnBzh_tz4x3sXZTk9tBbBy`t#XgWmSlnFp21L7B2`#ZGr|8kwFP^aWZMKl%6=u3$gT)|AuKK~Zg2l_Y-LMgb;os0 z0h^fZ`@618;4r-l2}z+kPxRtbUy zn0<_)k(&>et*)*fA|UahrKJ_sd0qs_0q|5IuLT&I(gHmiYuxE78jYD&Wefb4`uZVN zLT6-Hn4GL^&(IJG2ODOImW@`dxUC=wti-qztX~7b(0Y1$&z>c1V&M9jFiI#B|F6A& zB~w$=?5r#kz)pb;3)v^PTK>!DK*z)u5wI8+$NgMFvGxW_%U5NOjbWgw@o>&5!clTf) z1_QFsF-Et~%gD>q`7I8Y-X1OaN&suIs-UcFw6^u`H_&QvuhZ%g!-tN;7kj|}R+g7P zR{BQ4^;+lL+uJ*oA`3!wv9T3Zj0o4(CA$L|O(UkU^!i(R9v)Tk0530WLTbEPy;sOV zeGYu0p-BUfeJsIT264F}gFPy*M9S@vOK(EY|JSX+;9HI{w=iF+4XFlA2bz-+sAEkBJD|JZU-UKW**kjtZy ztzXzMwu$pWp1!fQmDMa^2aWIo0*o@Kde+z0rj`Kp``f}0^{^Dm!yt-XIG|L|+`+B~ zdAM`p({$)<0wPFlczOK`?cU$Lke3(!@Zm#!eLYm=+7mw`f>}F`?fy4gRT%JM?z2tg z1w)*ZT8@Ku-3a8bN(KgL&68iir2YK)Gq7p-ACp@zsANU<8dqrbg_AK;8I@QZ=WGSl>)IKK*rN{HJhuA}2k) zWo2t?W8=#LaA0oRU za7s4T)~qKZkk7_&KkPM$Zk)!wbjhW96!d{V?-VRe(#spfHM-*kANHzbO0oTk|mzRYCrvF8Vpbr6#SOs0#MJ@zc6x{ zikbQA-y0$&F_CI1cOE>oMZGYe9DFT#~k-g(|LlYi z%s`=@-=Dv=c6M$#SO3`;bD(nHy^35?_QY)B$WEC9*Hv9z9q!fZZ`0r|glVIB`Db&? zqZm&iT%~?PSZpjNp}H7}pU$Ix8K0RO(k48L_>?!MVh^NU- z%pDv`ZQG#!R3YLX4f1-A@Gz&JU5LoIL;koiyneMp3GL~3FVP>yXI*sVYB@?~WURLHpczCUH49VxWuYO zjGVlrGUy%hCNd&8;N*fkwL7)H@A~%x!u|8A><;Vya&fZ@gkgb0-9JAO{QG9EBhsev zgN;zh7aM!@|m{ zAp3#F?9`NmOV)d1l8k>`&aijy7}TA!%fVL)HFq*JY?&GYSt1SzBNG!@SwJ7>=jV0l zz*ID~iN%0r2|foDcvg*8T{A3?awMiwzinr^~bzIxCBBcg+6WoN&@Xh!XhJqXk-HL z2dqjDHgazgfrfwHX4~^Q*<^1;S+I2ar5&IX1x~U$aP}b>0#7;uZDPQkbOHO-d_(De z<@@&nVo<^n+z*|jz(t|%jPK0V0;shx^!ACw6&K(a!IbD%KV15(ZpSJQuWYpk)V$0H z0EUMe`uY?i+w?38-9iemu?7sl>w+cRTml4mrYsXKHxk2^b4d^_0U))z2^TCWSt0;O zSvfi3DW6lb7V@A#lgQ)&?6}45v9Ym{5%RZa@HIuZ+@NPc6ifQQfM}16%&aVM4|-3e zfMtREg9u!U-_3qA552Ay&cK})#lmo=<>giGW1L#60FKt2%l+$5zy*##;o{;lK58GE zfII=%)dZ3Iq!3f-#G;S_OAw-5zuA~v;}si7)l72&V@Tqg&n5ypC?ehv&fzG$GO#gb@mtBEm|p%wg!Y`gZmb` z0h~xZUkQ$Kb`sf^ca2N-{TK{_wqL^$6IdK75eJKtBl1$fk5TBp1L)vBCNecM3qPZm zPxA*LXGXq|Zq5$W9nv&JQoX9;yYPi>r0pKHwu;Ril`vU=KJUW({BPo?La8v?9tXV# zOTT@mJR6ijAf90D5v}14P(skZD?~raa;o*A9tVPF; z=gAj!kfi+3k(rYtza^=p)_oj5r|j-J+ffT1;a`KT1~)n*8&15;@@Zs%i*QNK)87Fh zPdHMrRX$ixLBicWlKuFRR5}-yPBOl2{@`BxJ``LPt!m)319g%aEh8%nxds6_fac@u z-wHDjz}A*|LVR!g%>CJ8*BB&x7})?_f1jWsD@%h<$5%{0!HtjH;4+G%!Utz?NgNz` zujf{s!7}{)q%2?_*ar~=$JaDxa~(lt)*Z080#y*BIPqS;%Y5numPk6*_?g+QJ#ZEW z8oXsc-$0y_7R=My3E1ZZeIal`{kfI?b9Up2j3D=Lvb*e)55BR-NffCRugCEARpU(t zEz8b`OZqQ2uwfV~y|^pSz&4mE4Jn7~nQ|1^`jl~IW@bwR1Mi|XyAf4nmDyovo0w3ia7;^-1KZfqg{369TNHoksH~F!Ml#zg zawGe10uEFr4;n~kxX}3aALXzgy}Z>xR{aiQULey z!Tsk*j^H>#`s;<+5}*DAJ~7+Gw>4@iDs9O0gS2aKZP^dxXbwCBH*V_59v9;3c36Sz zRzj!2-q`bi!4HQ8XnG{< z>i78yN=rG8Q-2LHV=Elntf_Y*$P;~c7hZsO6ok@k0h%!~@k?-hGhXqP$lo?C8-;8_ z=Pc-azWUVJg_yJ#a2biYtE#J;eQ)12Nrx=Mx4ym`<@QjK2DD$GR~o}T z^;9Z>kNLSNY|00u3$h=wvn3XedUXo@hwv zBZ-NLO1Rli0*)`E)2}{(Zv>sAHomC`KK=BA_7?R~7svK$Gliz}TngmZI`o(TT}PzP zzB8Vgd9>*cw+y&uSF>JhWL!y2N_uFGB$<#qCSM)Xa_(ZF)8l~hyc78X0K-(PMyfGD zEo`p`#-kdCT9AS)8T-y#xKg2zavc&~Ey_UdOva8iy)HWm%q%n-4f{bHn1sNWv$kFX z8cQ}_C(vE0p|GdW3z+um8c4YaF|XCc>*mQ|Aq|(M5=bg4y1Vy4K`fGOyWNq%Uke#K zBdEB6N>KE{1b_fY1`M554tRe~^dXcF!FcJjMM<{7-n1`QezvI_cYOir;w=b_aHZVa z)uAg1bc}$zxjxk$@C35Nm!V%35r?%*jb*MBP$Uqoy{%UE3le8-)QIL z>71&k_=G#|BB|nAEY;Nw&6&`fgjw}QrK)kR$~-Xbpb|8QfD>A^LSY^;$X>@qMz$zH zr==tzKi#;(*_rj7=}sxaTy1P`Hp$s?sOQoqiLJDO4uPoeKJr;U<6*bs9p! z)k0ULJ5J+7RYc2Etc)~;lyCw9ReLQX?F?wa3}@mJR5VeZaNDOf72;ynNyq5%`|dI1GxbL61_H=TIdpVWWn?d7b+&8 zOQh3)3^;0G5%UEJjA+cj1N?KRX+>_N1+up=&_IJ$e#Ol}*ell13DODL4cv3cdZC~MdySadoqfJ!pZQDnk007Gz5#)-A!oKs%Wx`SA)l(K zQ~-zt@EH`5%K|E<`fBQ>1Qha(l)H!4sHbwE(UN?UxXcb=rK8R!P^1tl>#&#kLA78$ zxS;kPsAog|Tr~RinadgiY^d=Z&+)}xGF1fVV{An+4^%9R3w&(gi1hQOHbHs` zR{j^bSr$;Wn4fPsgn7E41}%D3yV4WVq%&5beszH(Ii(47G4z%}RpVJWW_UQOu@w#g zbBU2iMXVs7(Q{LX7P@C3XTPTMvUB;_QDc<6WZRN`8%3I%n3GfaYfpAyPOHZd_RB&~ zMB_U9b?e$DaGm8|1qWX-n*cT-u8d%u!=1yMCnhmLBSKM#KmH26?OFr(2gHY_(a*kh zpOm(!2AI1sspkI1{e6&ai-b7Z+cJgxfVRP^T;5eCbaKJsex+J&zP#r{DzmL#PPA@?zd4}azimJiIC-S$GbhQs(xJ0WPT{I>Y!d=U>LdaBaQ)HJp@KXa$LN5qRz zdykO~)!T%EJA5eo2SlCSLJoFzU;9&M&t#ks@!25DG?Epx@BA$Dp|sQiOeo~N+<5r; zHES_&Io0QSTm{LpF!>De=>SwGxEOAhq$&wSz$jC?&e~>QBMCa*n z6i~wkNq)fE#ko4aHU^KeFae5dCc)}LK~{z;d(F|IOGyY6X9_wyIx!uzgRn4t+Vu;? zz=>1Td@jG61Ry?~2hr@Gd5v-GXmB2hfV6JEq%xR9pe!v-wba(y`g=*QzbkjRTQZ)# zTOtO9Nc37;7gqSlEd65YK5GtS2kZG~`a5EJ?MYulZmxZ8qekq|Mmj#7Z-o!rVgvYL z#ieY8K}QVYsVyA0-A^HPZ96<>@)MBSDm=dv8pICmCxX}^O$Sf|hw`h{gT$iL=Zo-RkLj3aT=uq`>9QU z!=}t00V{w`mySWtcXKbXJG2c;vc@>-=lYJZu`$Nht_8Wp4{cgFKA6|_zoeP(k6{(6 zjyNh~lL@^p&(1tjIlR=D`}nSGc#ucX&BE;cw8*#%BAI+n@+?zc)icY>GMe?to=@)d z1Zuak1QA$5v(}63+_K5omlZQV^o&uNldPAHn)u5Ky5k>A$wjj89L<*`d)*s=R?#&t zocqKE2FdKIq$;+)%sHF-Xhmh=xf)I7OuhV)c_Sg!i?94f!u3wMNTIx# zKhf%K4vRHNrt1u-kzn3s8?PA||GbEgR0MKgWH-YVUK#F4iT2=x zz&QANc3BzcVxKkB*S$f@gN7E&H}`HpOQMqam6Bt59Hdg)YUw3^4?svUpZ(f7i$$l; zyeZ*h=9g<3R5au{?5Z+?pXFSZL#v>z_r=pE=i43CD0tDgMRCWu^sS74ddtz!(69-) zvxXI&?{u#t^Xqoy3DswYdiF`Q=@@Vo=CnI{n6{ojubA5-cJgu zBuIIliPHP!kD`ac&Z_P&_urAiEdbJNOB0uBJ+rV}bpZFn`YCqV8623O5E>xwrQr8<__=60!xGs3!qX zOgMMjLbhKeYtpC*%@Dlh-QoGW0-XPX89wMA5RPfPaV#>J(jS=fb&w{4IvsdE*`jqK}t!HGGm*V(kU8mL2J_hs1V=|vdBG}O&n zT#aez=)No;AUD-OQoK>N`ZC%KH?(W{QryMJHbVP?sQ3zs@40RC?GQ^E&Tyb#|1JR3 zc1SV|%*oTOH4{KPQq3!6pI0s(iQ{HAN4$7Uc^PqsfI?8t*_McjyyZgMM++1TZM4Uz`D%0Jl_Tv z7FYlbY@b2-mcU-(i^rj983Co<>$q+9+z0pzhu@SzZ}}Kq6;eQYR-&z*J$sniHo(~D zV~s?>oU)+WpX_zmwbcrhiTaVK4k~%0EyJG)!gQui8iPn&9{YG?kSfj??g3fZ(BJ$2 zo|tdXZivcY<+9s{bcATzMW-w0PRDM_qNE|HB3l5u2WW)JJgHK2FcV!-QBX*Ax6Cd0 zi~N=e4bXG#I*_hV5UT<(j)jCbT|AA;jL0L$$=qr+_s~iPkO-{ff*9*RhsysD0Rbib zJ|mhSfp89`?tkf{g&5@5cX+Y?A&KxXulnxco1DGFZTGuP{>hKN;E$+@u|2l#t*7<% z{W5)1h$Ol!l0Gu+S}qTZG~0LHn~}M+G%`~WhN5i2UbNC-{*T8>2jeGQfh)h%5qq~M zs&j8caAvWnFTO<4q;JS2;jYa&s#SU&_L! zdVSeR34M<0bxVEbgL71mt}Ea^{GWa#3=0TOP=kdcA&H8Q2S=;azZK{+Zu8K=Q|o;r z@r_#Zk&ztt?g4gDwLCXhUR{k=xW&X|Gj|0C8@CvFa6>ty;h{$R`VNK3 z=`L$`_qWs7)-C|$7O?0-OX=kzM_1Q-eTA)#4-Hh##TgO)PL{8deA4mttIt%P(Gk!? zzM1FChfAeV<=5044mr5SxLGpTrh0yhQh4x0rE&Q86gbIkZEXqh@%NUpva;?t>gmx% zP5|I{`dPt%$=Isd?x)0WQAF_VXYLyjTJ(hu(w%xlePuGG;qZ{}G;mlaR=2me9o^lJ zAbIhj%aAdg&8?DS;Vk{X#>3eb%9qeNxvKRbSX|4*L|kpVX$-`oApiuFvdY}p7-BHC zpUPV&xv5?UnVPmNfBdyH%%Q}?!(&2OR8Vjt3VuF0sguG=>@x7%Vme=M13j9bSdX8#J^4In@Cp)`WEI%)AtW?@pJL+5qNM@Uu z(Y(IAmRdKIn3MAr29*>1gdYI*bQFM?3M9ht!JGo10KgwjO?O8}hK$0B3KS@f55r1H zqm#PQQ?Rc-Jm5FX`FYu^7P}@`nT`-)NI3GH9r)Ynx4MI79Csoq}r$Oc2&FkTB2z zAwh`bB5c0OxrK$hW%5cl@dxEkscC8g(!OfqjkWQ4Wvh8|_4C`8uyx_r{)_(#;^+XU z0S+!?NFQ1aaUY&i(Rsp(Wk!wu!$#6Vg9Ce!bWQxE?c&9@ z%F0T^d)yHx*uLm?b7%Rzea^a*G$zJ(!;Y0a-FNr!u!h`g@bu^RSy^q<)+NQo+XrrM zn1OhWv4}e1b{ob|arIiH*tihrK_hKJD>#z$^VasI#0@8uA)SYX^ z&e@(#efbUE#W2Zi0N2B`Ti$AznVmI5iBlDzZ|LnSd}ht?n-h?~%rAAgIw>-2S{x78 zFb@7IC7y-3`D=WjC9TUna(i_t_-99FCn0l{Tf!%=L;{peZfIACZ5-2j^7h`X>l73@ zWCpDHw{Ja>k_s9eSDk*r_Kxh~MK%)?lTH6r%K_rh5I3eD?=X$F@A(YkYfu+F8#waF z<T^@ho;>%SIz!@CGoT#mU@FdZerDXnf+hU z6=WAFpK5AacaoRQL>L-boyREnow0VB`|br z>QNp*g|ht_daBG##hIJKz}A~6d6g-wrQnuR|3N^#x_`yjvCBYcRwytN~Yi#`Y z*YRVEB{a8E=)K$r4M=qpxVgAkuf3^yMtk*BV)G;kDXA*-5m;Vs(iRc%?y*p2ovlzb zs{UD#lf!Hke8n9O_^OY&nHeGeyTvbWU*+@M0&0mZ{~Y8|gC69zb?zr=J4m!{=g8^E z5cj;u9DXaC*|fA|#$p0+k`32Xi7#v;rD4WW8OqJr8jcOqKD)eLHv5sLCNHJ8>7+UZ zO3KP4e3_}<3bu=QZI+z={m_0};i49wf4kQvgr7{<(x(>#ACuIE8%xYT<9>?f%rbr> z*&;w0^nCuuj}vK-eOi~6yRHe_xTdUZdwupvS=W-ifhNB-8622Ax=HR^+(7HUlhtKQ z$2S6I$0;D+aezDm3S9wD;{3hi`m1+;pBFDHGZXL9C3Pvcse%#Ko0Fw70gu%s&Sql6 z4tRaysx)AKn>PzSx1v`<gVNrf)FU|YhEN4=bE}t@N<3LCivi+`46QFJ|nCiRMnW=g4h%=M6F!a-zY+}Ojg=ZD>)6>(C$ZrdSw|M7bheJv?Zn&Jgdj= z*kre@s;T+*(i*gazeQAk;f(r^$@7iia-FRBLJgDOx!w>bSrq z5R-o!v1mhJ(YUi%vbrOV%jdw*XPvR-k7x5`s7z11 z{qh38Q_Xa6`tySYJbznv_pydjPEEN-YKb48eaz0SO?l1L|Hbf286F!w ziP(*mjDp*@tmenZ1rC<>WIop**30PFdWHX2_soC)-`4$c?Y<>X`cM4ZEvqI@&g*M*>)0P4J^JlsK4^ibo%g6gO{!Fp=bXB zVSp&{oNdJbJ2%dcZYcQ>+`9Fhhm$j%420Tkp`=FlV`*vclO7^+MkiI9k63jxkV<-4 zO-?n%9Lm(F{cyOe>xC@P0)<%H%d0YtDM>#F(b(A7;QKYgA?+n+lox~#As!(Cj*J>x zR6_=k4nM0-8?NP{D#(ef-o61hF-a?SqP4BfN@2trdLG@m<8g0d)p4}eodVArYUt4_ z4h{}ViTBvqAEst!F9ICdWhh5eR13U@vAkuGB0?CTH}84Y0BTe?xm$aw)f+u&>R>|) z3x~=PuxJw);CPCQU*slM2ASzcJ#XbteGx7+K7H~9)b!-_`*k!iegVZAn4+9y6cmbxU-?Z&QIQx2o66($+j?lZ24TQgmxKKs zS)FiaarfuX$-Uv?guLvX65#R~7|e3n6ksi-qa06k922`N2*6ChxV>R)21S3yI)l5z3!OpW$1an?u}fhHJ@X85uWYQKY2!-Ypd^ z?eE@$QvVy4U^qZmG7mW+XTDzZbRBVco$l8 zJer)JXG8%pFdSv;?Ce|RJy%+C>Wk1Jk6d03iVdEOLKAy4)c7v^Iyov#1MdAJN|~qf z^1YV`TlXo}W#~x#&hO96q^6!t=!DmpA_+bU?(Ajz38V_Ok+*Dl3hi}ar1}g8EsD4d zz+-1T`Hud>>cqsu96ClVwy0uD%5nzR-XK6>DgzHPJwE2rJ5vyTA;q zf?~#8Y_Dic!Oy}D@%yZZOCqZ$j7zfNm8=p8%S`>W59Pvm@`Z5u_x@T-vA3xr}o6hpGw%X^i2_{E!VdYIGy#gAx zMpRi%elSZaEkNe@In)l3%9Wp~WzghVai;r~FwP)N#3?-*@%-v)@(Z32$d9i&KGFcw z$;HESHys63LC%tj3P$+uCJ22oD}F?FDt+V@ho`>GeS0PsKr9(fQW9ijX4YF0g^ar; ze1e;Yrz`LgEgpm)kYMazcy4y|v|+zCEluRHZTUyB20TKxcbu3Ul&E=={N(0lUwHX# z(VlW^)jZErUpxosdJc%Z934t3Jmu@_wS6b?*15_PYdrvNY;!8uz1IB0u2|P4cHO{rw*( zzHT03Fa$E1)WP|dl-N*r_dw!#fQAR2`q7aTvu$|wnzPwoOAQpTpf0s#;;pIzFdL`K zGqJlJf#A&T{f7G`Nw&)3#Kg%W*$oW^9UYqP_cKH{$Q}ZzYH|a%8Iw(Awu+Xv_K5%> zC8G$Z?FVPuxlaz{JLISQXeY$g;5s9#)bBj*nm~OF>1$WfGXj@lTa5uxU ziKnOWmwtug4N+ZI_EToWg!!@w5yYr(>a_6P?Cput_}mY(i*ayp-be%z5coAx2a8Hd z;;w}|C;17zF7vi`c19_aKWh;JKCbKvpU+nYf%hr&h;5UC47LDmV*jOt>HA5AZ#G-2LNolwr)u&u`X&`1hKfMvE@(UYX$oS zc?(@EY;AA%FS8>m8!?x71RfRI*W26s8UG^)+Hh}5UlC2~aX&gJweBLieTPeNY&z@O z`|qgNTMr36Cj8_D8L&^DNI$1O^+Ynh)R+>RHuUkpd;cr%=k<lkNoz_EupJKV~cHi9(1Qzu`D1{cybTz@)R|Gx}&uh>KPdr+EU)-yz{@OhL@G=KiBXsjktTr+Z;Bp zY72_<&v}cgnlUbjm@*y&iS6oz3ct30>?*WmZ0bwEoT$L2W29<&l`4Deq1#uPnElpW zXO7h?f*ItUANv4rG zA$U2%!}bxQ);Vgzpg_?xI1iFfc3;Wxpn4-GzzrWf9ehDlB>AKlrOb)B|1Wa?Uaw-7sRQ)ol<2_`yv!;qevg;cEZw0$^AH@O~!#!3a_`mE9x=+}vTIUhY` z>uW0NPw#{FtDCL=d1Y%oR{ZaOEyyXByZ^ng&{@c)qUW5vVM?>$Q=an2>%@Y5NN@zS;IwkviwE{aK!#{6D zZP}eJqp+;O6muc$pCMl1G?Kf?sMAZOuymyju0*&uCFx`U3qci%NV=h1OdtgL3buZ0Gev`X3o{!o2?9 z9(zNk9(hBah_?m*dWpd%>E)8b41q6mn6|)NAB-iu*QdZ~om>A* zorb-wWU^Q2|3CEqgR`#gFk-j4glzD8ke_-DE8e^={@0@T_+o(9;S?+kT$E8Db*?Oq zLWX(H^=GDzo@|sWFtb3?ISN#Xq4;I3;uXDScMdIwpi6kuLOs#*JVcq?3l6ixJ_%sK>OhF~@?g<`pGIaQ2zJdQMl3MML!z(^snMl~xb2oa5hwv}jbfl}sKMUR z!Pt?!5w3s`^ajBku~FrLHj**JE74wI*rAs*%FzZLWGg-`EWu-41rkIn?b3^{nLI2|~&|mQAmfx%4|5==Ak#xO{c#5dj)&GykFVNHTU6 zwBxaoR}unNOFfMp2(F-7DP>B6kx?3(kARCaiZ2aBx{(;?-#>qtlHD_W3eU0`4FrL{ zX;VZtdn5qTL4l>8)pnpK&)tJZ#qfyoVNk5TuIMS>u-7B~9$MBI{@duoi85NDGiO}P zl<@qpMeWi@oADQCGntWGed^EPWss({+Ou{S%43ll2>=ax|B^aJR-n$qdPy+YfZojt zGz~CsgK`jzEOu~a08BEaj7=LwMl0}(WI|}>0oU9eJ&)EOPK z%c8h06Tk2qdP_B_$Kj1?KG>gEtnJ<`dz8rz3;06xYfhbLj`RnwiVRSFvZj%r1398v zwA~JogL-+I+i7c3)BfC%GsJ3ItDTqJK)S|$4`ggVba>zRXTqFl?0{pi+>d`lf^*XU z?jw;*Yj`>5^?M+JwCtPd>s+(X&mU{udef?3ei~Y!k(6p4tZ>r&+vnw<^FRa9qbOzb z} z3^|`>b!kGCGRwr$L6_xndU$*vC_jAHzr_9Xy^`_27I}vTJ-v5{^C3+M2-Z3A8Lh~b zNPwVi95hX zfAHYN-n^cW`qxHvb@@4%q@E8O2|5{pkB>UVHJ0~+vk3kfc-vmC@X998<|~IAt6LlQ zYA}L$T#w8Kp=q3=y3m?=`IiO6Tf#>%UxttTHuwRQXCd3mw{OhKI1B9Vd1-S}L zR3hwqBaRI5c;ISeEx{6`ccZMCnD39sE`S`|NPux3$b&PDa%a6H%>ag1KxIUx9jG^Q z7=C+iblL6>hv|SNuvh-|QjDL~EkB((OW|q>)%KXV z9{zd|Jt1|=vw)Kc!qK@P2b_x99CfkSz<;wVo&5b|w#eVBhdB+N`qt_IDpuzcP=5>+ zOa|pH!_WRKvt$@p+RXb;yRxMn&hJc5t+;Jf`bs(0<8tUmn|0pb%KmBEN*Ad%k&t<)>$)L9>lON!63OM7c;moMjxk2xb76_tKvoeM1CJ z57YAyMd%tE#r^}ND2t*jfq{QW%|xEjdWnC3JdQDD2$UR`@|c~IuS13EATM+T=q+-L zKl9I69!|~9ddJp74`zF4l9gc9L;{O@i6r%g6+J?PY-xc8wVCIE4e2@+EaHW;2b`gv z`Su$!d6moDD{|rS%|DLt%^F0>`Q2Pwij6zd53=p0w(~qyp{H;qy(Z2-WBmk|09#yz zHx5aNy;UhpwoT`Kj-GAMp601%Q-5Q(G;~ZVKM!(p!E@nt(1c50CF4bK1zh(~IkQxm zaa%6B)UfL_{~~eiwx>g8oroH|^}OQObwo2HEUfBxw>W*g?L zRjb0|uR#@7BlY}U^|0rgg9fA8FM{V2%)nY724_B8xpu^BbV`k}>ZFNyAoh z9PtL#c3cWm);|-jveG3&v5_z(Z!NUrnmlY?xda5mEsIP0<1axUhtl;UnAvacdBp~_ zur;7c>M$4x;Pz*?E&ea^-a4qR?&%r~BtU`$4elf%xVt3;4GzJAySux)LkPixySqCC zcXxMppH1>S-}`%~zCUJayrzn(dvET|KIiOxPWS5W-D@EPe2wmSI5t330S*zs4Ued& zeK5;T?<;PI#II>}K$QMB1{8lX$xjAbOC0-qy>}>1HI9PVV7$bl$0469EX9zCOAGngzaT1|j4e zY!EGAJq4X!Vt?bZfkrBzSyPulYbc@nXubB1URr{E`|YE{1DL10Gj9(9Vn*|&<`0)o z*x<1dI8h6j7XrCA5KDjx-zL->NFV|`(8nXdwv(M!AGS__gr5Vrq0bljJF+=`%?Tmg zDdhH2*HNy7LjAce8O5ex0#!B#b`;E<9rErb2EYWm{zc+yM1*mreL1A3 zRbYEpX`HrZZtyhYfUR?lXOO_69XUG59P}A^q@Z8Ts22h4sxfdAA&wP*9>C5YZVLA4 zH)`w|_K#{$=ULTsPgPtmRQHB2R{+IGJb-HU1E1j!KstkGpQKi-{^<&j=kDk;(_VU% z_=#!`8zhe|?LJ4SLP29L=iVrT47M)2Y523PZPsr9@+G8 zUbO6_3z{+j%r*ch5D>Cn3Dx~^N`ForDQ7wlfXheDCg9JqT+mV`!DhHEy!^s)QAQnbT`tf`aqoQU z&{U6jkYKnloG#7aC_A|7$E^gsZz>+Y_C6wi{pqB$YZSn8lRi4$J69T z_&ciX6R>MP4+5wrO3FKXJRcz*u>ht8`n4%wMw$8DECfO)r_I4+w|=I{7vg|_wXV7F zo@9LOK?9f}-x)*?h9ZTMRu1|v>CmAT_&2~Wp7Pv)J|pZahmOD-qPN8~f8FsvSI$-f z;5}4Q)v2wU-bN$|}9I3tQ{Q4xVB|Gx2ksx*W*eIxKOx%caD(6$uItO1C@mPnTc zhXJ?%tVC`R>jFDqV{4?rK)ITxd?9Vu;==`to5}Da)HOiU@%?FncXRN!e`3IYXUH0m z$;%(SeazyyCo^vaqT^qQWf3`#n#;p<%=xc_7lCf?3vs8RPcROCven)6PvAw}WSB(O z;qJJL;&O}adQU9n4>tT~-RB%PV)e*xa%aF~(rLE>+8Xb>^C21@9yh>97pog|RdNlQ z9&DG^09}Z6*Vk;pF3=HDuiYIfS|R&ETBdH%2knG(?;{Aa<$o7e;{UZpn!U`h5ph-k zjd>6>ZrTB!@E^AjuR}o>V~kVHOufTtR+1yRb5b)0bf~p>lk34FLfFW!JpLeba3vq@ zO+XLb14k%67yF&+0R-+r`KY)OkR!eNI`PNHY_N1J9#cj|C*k=Hl^T%oc7J5V9Mx8NPz0Ak8Qh+PL zJplY!U6EhyA!z(LfR_{;09qbXEDTK70G)uln}Du&j09NEB8g<~19+got5~itRb%&r zQJ-R|l+Q4Sw-SlCW+3eAXIaF3C>&q=1Iqt7Q>H2KQ2EXSpB@?Y3UrH)83V!lbKqeu z=~I{zxYDu-3|0y|SpWFizvhNK{4Z$#Kj~99nbQqIT32YpUP{*E8#^Kh5PAF!5E3G# zE()V?Samk=*v^V9Fi_ov#@lW!&IUFICE}U;=bw!C;S!+zXPccL)Bq8X2gekLB{0ng z7r-h_O+RsA*Y_pRd9VO+Y#q?RK%^p?%*|B{-vstwkK6|bwY}!p19XCYjFx~j3aolA zhY4Ws0ys9Dc>~7rc zq_n1bOXM8KeDExCp(MC9xXjy3UPlca2dUk)PH(y5ssWoiA3^Ru7XjK7tgGCPT3`k` zfklFTpLyxQ3w^gv~y_@l{&_{Y6f81&Rb?EQmPuj zbDmJt^9cqj#arnij_Q6K8gcipbta^Fiw<cs%tRkC)TlGmUqB2YTa3Vxiek134)4zdPhL6eb6cTXk0iaG!Nj- zJOpT)NPdE3u?!s6TT7d6C2x({ymV%E>x` zwpSI4jyDIi=QbA-k|=*I(;K5{k>psWjlkomM|+u=A54#B#h3YPwliXr%1o}J%V{{t ziQ$`{)88w87wV^|^9Mi|#MNT^4?;?IK|Io6BbnQq@0&4LjQZiX5GMx>Ko)2XJ?Dg) zs&~X&aEBy}b7g_uWQOrj3`4~_!f6yB!lKG2iQV~9;0+JetEQj7W^JBc5!fkmyEn4? zSzBnw43cHj5y^ghe+>rtL}}MW?k*3(kDS}j z8mM{@I&YNR1Wj1t4y|-OG_Fqq%6h?d>$!P_mX-OSk8|FBx1b8z78yT>ytF|j-GW9v z(G78PU{$RN^fuHx?E>c(cfyxjdoo|5k_rIA2E;%(m+&Ze$x=%q5PXEb^q?|z4q6lIsz5IZ zrR>6@^k#p&e1P6tkG~!uuwoBK+%&wg_%ZL(wi`@gzFcLrkXY%Fkl6iUkb%mB5FV#} z@y*51XT)>F3qeC5Bne`+>(i$Mp#w;oCeX!(E!2aCE8QJpPHEXW4v_+|JB)1Bo_MU|JP0q`R zTx&oHPDOJU;Rw8GmhEGesay3GiLBVq9Q;dF&{6TkG&pZYQ@fQK4z62vy~1f1Tw@au z_t3iqy`msaP`}Is&Ds^mb5?^kRcPqwwNacmTWJRuX`$>r)0hAslX^#x2ntMHUj2?vFlSy44;kZ}Q<)~-7aJu3mviAh?P1(Uw8~7hKSeh&{XMjqiofAkM zJk3BVKipyG6Bjzxop_V)R|mWRvkh)##pUCYyyRQlLVidgmu zUNpp_pyYf3Ns@Xmip$0^Ad#7E26zIE>_k9vi{55AO_>n{z)VBT<6`KqBT8KhUT6 z-S;GCrv+M)7XTuDELgZ~ss>G1Yxnv5f*_IyS<`*I$|s~(83s%Al)bY0A~5~vlJiLC zwb`j6`ixjM46L7xR8FbDdg3W_ALuj$Iobs45n%w9EN7O$Lt6(1*_jZ;cW9rc2Yw!a zJZlh(1Frjph#gP)j^sGG`JKi(8I?y{(1TSKhMj3>-kH55hiCy{&=Yh!Fy3h`29J!P zHXua?qSZET7{GW2<`S9fG67INI~TE&>kQU97v82j-%u66kKY4|#p*49p;ZKYZ&SVEw=2(|04_SRcX=y#E^%=&=DL`y0{sFj&9;d1(H? z%2Z`wnxZdxvH`RG!o9+)m;dPpyZ0sj0hKR@MJNFu72!piQ;n6o<+1Y%NNHT?wErDEHyP1bZsB{ zojG2UjQ5+a1L+ze4p(r)$W)oCoOb{(lWK|$(m}TOL@fVpR95+%r#qu=9cY)!DS`BI zRMa&nfdIJykPGZ`hj{LIkXa}U8dG*~r3M~R)xdD#Js*Gk_c0=FfN=Bt{0iR_+(%)3 z0E~A}+JQ|+l8d=ZvlT}2OIJri`(UpuV5|Yxc08>E6xPzHqsGNRLJTO6`D6SCur`En zOMl9i5=G2BpI zkNhMRsdo`OE^0eGkJl0v{9LJ*)BvcH5s%aU_w@s-RsZNxv;`845ixa58NjZWIV53l z097$%l}(_EHga#b3?79*Z1YJKJ1QWVSY2HWRCov6nB71%W9&kd=B=;p-!Y@3RRCFq z9g$~FgJAlXEaD2#at)RxvrY%3zG3jJB@0zC&cfX8w7{AJPTjoIec&#j;V~saBAj2cz`xx&8IUCi)PKJgf%(%a?o2(qOB{8W44A|2U^!Kuf znX)WTtii^Hl0Qq5528fF)CeFzGFPC)qE`e6Z97%Oug8-59=5^0hNwToOqYft+j-v7-(F8hCd27-{M%=`au-j4NH$Te7k6?$R~9GG;v$_@eP ze2HkhT94;Ia(TON8n}pZx}8~|%SxqM&pMmr@e(W}y8U-{ztmFDfs3H?#~w|a^BJy> z0qxUiGq9Fj0FK7QOw+hs_kNvD!Px?6!)C);YsL&%jOoh6L{P4)?EtcW9ZoVpMrA%8 zJhG_rK5XTHzPzH%tZu)uwY3%0Gv}Q~0sjFfz=<|d*hc`sb;@eI=^h+JWRr{rpuy;$ z%AzlcG({GW^BQoC2az)HxV!_ret5PDK#UQn~xqSd4wpPFM~quk(1T0y_p-i5sSSLn&Tm7E8?@iW3Q3=M3(%{x@VR zpvBm($z{J{a;1>E3&=Ge*goaSzi$cyxjxgm@$IGiH{P}GZQAeI7 zVDao{C$ES8n3q3;)&!1$C;JYZ&HyHh^e#vRI8}kbwT1-rsFdZi$?r@S9hxiypb}D- znoAG#&K_klU9aCUwJaJto6sCWiDo&_SLBbb7!@tNjHp#V zK>*32Ps+9g=SY9b zwtoyoHbu;HL{3Sl-Oq?zYxAxkp~Gl6CZv+beZ3a7@KhdX`qKB=8LX}wsB~~>4Y01l zpYMZ0E3M<1{$=QDSD3tfyJ0%)E?_*-RkY0jMHhRC0?92eVx z2>@n{r4Tkx9N^7Frc~FNfVv-G&-OnKf^ZHLJs(SELHXjp3czje7^KH-1m|R*y_^6M z0m$P8FyT+q=8ql;r>M6KP4wa6Kr5+a3o(#UNhIeqWI* ztj|1uC(0Ab)Bi0sELib2h8NDY1XgwFUAM9}0#~dmKU+)@O$QkDOqu3L#)zMD>6lTVBQ` z1*X%2pzKX}f=@m|MD9P=wM>mfm|7h+4Qmc7-pV`SD>VMkBmhFjAr}E(?WesSw?7M> zWo^8r)HeC|=7tnvMYkM4&iOmtP~qm3YY8OMOn)XCj&NDwHybGC|DEEGttH`Bq5qES z?yW_%iTm&2pS|kh9bhAt{X3!0P(pnYtoU;Ot~JD4TsXGP|A!A)69JnDxc63EH_BG5 zTfV&D17|cU>dS#_30b1qLr;fBQo~Zm)S8eU zd?`&Mtz%_oW2vQM#mPw{U}kFl^g>Ta_xDQyI>M)I_|h~241{z~qFUe`BO%?>u;3jV zA;Ul4G7-|TKK&avHx0kNwV;f(hP4hDNKl53mJs|s{TjTdBLshcf2AOyX{e+1bTDBP za7v7Ran%S6xBYt%-*ZKQ_Muz6ArG}}M z`O}1GInaETq2bf9HPF(L7UTuPf7Q^m0zZ+lwzSc*{yS-4uqPQW9aHT;@?w8R{#R&B zz`)pA$C5_C7+gG`j+U9W4vnaesh+hyAtN&*!+%%*YEV%#n`K3DqX|F!C1@m-x1uKO zNBnWb+RkZe{=mek+HbzCH9GMtYu5m~_~^yxVqbWwzKC@xQ#7O)<(CQg?%3r%Ghfk@ zmC3dBUJRZlN2`dntgPdzWQuaf+GVT`7s-c_;T38a)#?4$H#u+iJ}4sHk%_eY`Y-Q!gCY&G;TeX0-UCwrIO zv$E{6QT*hj!sPARw{(d+!p}oAEup9^OI5ODoUDA3mm(!9gU*_|Z{3nOoe+ZWcGsql zgBet{bI;gFU8!P(oh@ZEeRJN)*t7Je)I7M1%n4*sewF+E%)q{iuy%0uQeguN?)4GZ z%VxoZ1zm?At0tdUdLIx9jN3OdwH1*yJ6|sAh6K+M$;cBNuOhgn@0u9fveGc9`dq~d zkdjV8Sf*>l>q2NrU{@s$4|d?r3L|e$xu05!%s5tfPR#W!!XLcZ$ib>u>5F3-X%ipa z6)Q8@nU18^*Vj|JyB^M|i}h9fhQ(aZd>Q%9Rd9%jOU5mL>uaey#t~^emZJajHAe<3 zeet9;jgUgt+~1f-Sq^eX?khj1BYMnFpsrITW;<+h`w|^%3Lgc@Ls#g$W}|B?Ud8n5 zIk(OAv6W-h{1VT#?l}>DSd}++Fui##fmv%88acW3a~e5%>L&ebXW+6F-G(wPecthU zlu?8%F(c72mEQ!+Z-gGwGE`c1#+qTgf%jCr@L|qwdTp%U>HpVIG{BYy+`xxs`xR+6o<_mu>9BBc-+?Gaw)1iL5ebw9dW z-t(2=8)O9KSF3Cj44$Z!y);_vO_0vN@DCB#tKNs^bdQSGn)~wE&$2PD=}~&D6d0u~ zm>8aM1;aTB;tQ7UCF=-3$Ej9nhW(8(&U{^43S z(q0lh2tmiURqsN`iV=57Lwr^OO_K&-vt_)Wqtj0!hkQL3Wb6N%v zy<2Oj-@V$Nw#1sXR5$~C;eR_%h>rf6z}O*VU!%D;WvcjDYhLsxO}R9CW_}@DLk2X{_lDjA znhejrXSkAY$LPcc(0@c&E1ZMzU}5-9bP{fw@G%qZAb2Hn8I>1d7_?stHJu`@W)Ts)KhjhPXZwUU7pkbv z>WXzcnpGcgC+r6FvELrebe^X2clR|QIE*8GDJXbOM2f|gY1_I_rwP+y)5)*z&pZ$; zL#V#$K1G>LzuFNMkUm67;k9-b9k>yYxh7MWZnDJ8fI=T+My43CorUxA6&;`bT!{0` zr>&5lXNKZ&l7k0x?7KD3&hTiY_nK^QmGVyWU!GBBI|%p0(!uqM#m6Z!=RuWQ-4?tK zubOZ#8z8cr@QOny+1IpcV0bGQbCJ>oKi5=mL@?uWs0lNYz7Z!nF~fQ}A^};&42KV7 zjl^ruy5h^)UQ1gKZ@e|~LOq$InZuG$k1A~QM9eK>pe3JWnD396Hy zelqsz);%>4KG^?@_D#!`@k_}ui)Z|?IYgpTX!O0eZ6sk(C{Am|>M8Ie9M~dz!)4~0 z?hCimROqRqJ#LbW$nmVzhFhml{>lBDB;jM^TAv~@f*fSx4fCK4pJD0vk+%l~bswBYZHuiE4XMRbl88-)rPDJE*>1diAxxP8S z7t$!JZs3|o#>N#lUy#Kjeh5GRps9pNMAvYSFp-8!gGbc-2AMb^G#m@(9Uh&KUA{G+ z`;-#k#UMf}`9ya&MtMLLN%Y=GN!Gnv*!+SDt(UayYuw#O$o^C2AdA^>JzHy+Z#;C( zFXXbgOv@cnl=*+CtIBTS?3Wz_*=W4vBK?$CNWlj_9+E$nANF5d%>3Gauib$%m4okp zW&%OV-O!-63bX8^c7#TuB4Lv0q44h8$H^ZL@_vs#?iQp zZLK!SRg}PVZP{F?cezY8N;RvQ`L%o88`rXp5S2H%WZP_vC=@^zgh?mT zEE00A;KkYs_)mSNOn8wZ5EIm=<$@A|i)Hy>u=t^!MSIai?8Z%?sVJ0@ZRCR{xvch$ zk%`XjxZSDiTDP|{+Bs4Av(*|PTN7!2^jwfr;B7b}eW03=E?l2W-;Ujb4PcN_KhxDY zeR=VNY}kTL(kd%S!|SuscH60x`8!dy4Pr*hYMj=00{J>wcjFkIGs;|AF&ZRQN$T=J z_j8#&Q6b1tymBqD5pP@)lj~Ac9Uyyc^dOf`KPy@}ca8_QY~ox>BWk_-9YEeOyZ$oi z>`R?kTc~(*1ylFeZx^rQ$EM!LQp6oM+jkVL$-nUwfUwk$Op~Due2y0!78vc&>4mq) zXNKh_As|cGe)<)UKLNp|Si^E)u4W$cLvNkWkjaVv?f{(E;CUQ%aF%Z3_6-L!Ff4N%=EM9Bk%}U3U9%-NZ5dk|pIsa=V5_k|4aB~H9iLrNIZAz$-8{l3{qapip3JPL zqK^z6ABkS6a<+X7PbKO5X6O;n^sMG87m6rfm&o!#JS;WO;{rCh0fFKt z%ga4k3tsiW3_AY7Q@k3&7%d$Z>*7`lX0xX1Djk{&&x~SNPFi@0Z_%p4-zt6C9FpXc zPVBD<^=JHwkgc$K3C&O5JCd=?xDY7kbEogC!g2RhlE2Y4UJu+y#rz}@I#JGEy~{OJ zE%qYZIcYrEiM%XF)BNG_a~hp#U)p?~ACm>E_-D0z`WP&gyHIL2ap!LvCSM*7r2 zKfwEfW-V{)g~t65?XRWK+y(c>zTTa4OtfgBC8O%Wh!46@j7#(V(QfDQ%gcK!&M|)T zoBOv4)CQZHk$TwGQSCkJb{4FKuZ3ECf@z@m`SEpx_SPa^*zk1Zz7*|w(>lr(xXCMc zsM+z_8Yr6sLnaUZAV{DVf$_5iRxCTTP{;F_k|s&I_k~v`xzI7MK(bUzUcP!88ePd- zi$MXg8)skk#N-CuV~5|zjM;)SKe{Z|H$wM@Qq&FLwwl|j z3qpfue{gz192~kD$uS*B>EB-zM_Glbx@nyDs+Mxrv_R+6S@BB;uqkCC99DisSO1ti zwE*j{CF{+O!SfBW$UhH>4JXH$cGUwjc$!0wC4NR0_O&;M)}9(nbR=7o5~G z!WV`%?oepK>obu3)+p1mcVg!#KsyJXuLuRTmi1#{+t0m&-L17_G=vN7I70H`v+1```E3buM}m;CW)WIEnbsZp-vT_#QjFAr_1jg6??x`3RmYvI&pygQKjn zPka|7<$UEV)Jx@3*`UxOM1x(K4rqlJt%8)zZ#q4SB(i!sJ#^l1?7dM?@fN&>kDZZf zRh9a}UaVW)6dY7`g=S#()!aLbv(Cw%ShJmIxr;kVFieNMxcHMzP!sQ_gT0VlSjBYp zIw?0ABEIp@Amqxj?BYz`tTc?b{?Cn^dAJVsFh#NT4v#+T(~C$tyA`C5-uSY;Bk1?e zomdav|5@TS5Z+zKySXSx+Sgyh)aHF(Klh>V!wU4eyIZ|-+hE`%$zNd8W? zQsRb5(HeI>W8Q5$v6rRufmm+oc)N|u@k3??b;YJSN|wm;tsK+SobZKj2E<6SeWIbR zo941sQKtc!IIVeX=Dd^lKF?>qZ;m_LcG+Kin5}3{fSd^s6X7oS*2-r@C})89*4pgV zeG3Hf5M&C}PXnh1E~Qr3c| z%9)y_%8R6Db~xS?Yu}{aFOjpIu-1Lj_a5!0^5P7A)-FUDiJ7U(R8Q2Dp=!n)#QyMF z-|FXYR2vMIshpuD+l}r4%e%<$p3BEDVLQe=5gUmVKC_+M=5^AfrF{lL6~9QD*{O{= zq%)n?=4;HxKi+r5?@o{N{^;&tHz0c;z-iLe{h#Oao^oXWrknnxvHr=U(a6|nT0f<= z{$$f=!3}!aA|7%Dwn3(98{-ngLtSxmkOb}r06ZR4v6mTwU4hIP~ zlbyr|4F*gINH;gm3|8NZ$EAzSP+ka2IJ(3aD8?}w{HPR;p;XcAvRPpb8f`C0#C++C zi8HHCf)v!QOH1fMONhiv7{Cu%Uh)#_ZOR)elJa1=jnKEjbd}F=g!O$dPy5=^uOaO*N9HwkoBsG2!SmWh z0jF%ayi{#T_3-C^{Nfop#0Av9pPPL*@z8^a(N}4ZiY~WLP9PFryUk~fa!JYQTKK^P zKoJj9qr+i;UVY2*aQ+Ea2Pe8Tgo=B5sB)~ac!0dT?a)T;qw`kji8%5CNP?R@LwXMD zQ@Q{fLi)>A9qVP5?GQsT{A7V13_riw8nhvaM7Kf$5uBvbos%uG%0 zwT;g-YvPKyaw3Mq$SbBzk0~qe$8dMZJm`IgoJF{HT&FE?n?K2{K^w?~p=8L|_EPht zgF?W9qxA!QzvdKf<=I9=oJ1|f<5=Iq>;#uL)JtM6PKfu)Bd+fru;NuX=`36|JRSPZ$af{ZJMl^9ULn(sl5J!3?$lrr zW9Cq{)*SmL=2AWuE@Om4+uofC*@*3W6drVpz{{)WDK)=l*AqupVL}}t&mCQ|O*`jM z*+SpG9&_2IJu$LDPqWjgQVVI0wDIz9W6SN-L%8{sjMUU-f_B6ZgNRizSo<9AGj`R4 zvEZHfi*r$>U|s~*a_n;IEF;xtt`wSBBil#oUeK$-G}aw8X0Ik04xm>&$Ajsy#*`Zh zeYtoFU%YIuZdGnG{XKsBGq~0`)_oV=yZF~AOJ&xlA&n#y@-w|$2_8A+J9zH#i40*s zZ+4cTZtd&7iKCeVx-w%sE(uLETq8rrhU_hio^3zaZ2Q%!+xGq+_8n6^o~4dMlGYpG z{y9sDGN}52wvV$R{E}Mzp_dZHSvR<@A=N?t@yI&0 zGSPV=H$<}8s9bcUrk`fGCz-5pre#?+mg{BUCY$mPMLK*_OF9;r<2)Zcj_i&>v;jS3 zH|^v{>EMYZDu+tO$O2i}gQZtDxcXp)xH3WpsR^-8r!(<*o;$&a`F9=S%Zus^H`Q;m zo@a|^ji`Ju)iUnoJegm`a@)d@uRgZeQ6}7CQ7jjPoo1@tGHqg4Jx#UoNkgeJ`bd2H zf#-H3f9H!GW1TqE-iJVmT|E9s+FIp>rD!?R=0nCymu6I9BpLf)It;$~lPIx!-b8N} zrnt#Y=gjJ}*p)Ii&74-Om%hCCRfSSh4X1n4dVvOJ6D%w{3HYh-V$AsJYDjSwP!C}g z1LLcY?UA=Zy%(>0&aWnM*(a|h&!F3ghv^sFeW|4 z(suV~?#;iNoK+`qa|nLuHu#lHw^B1r45!J>63+G9LJ(!66}RE}D__Stn61=K z*fOIT>RFlhYg-kzobQsp-%*j=`g)a<$n;KQ+{5k8Xgh^g4vlM}5+xg2l9z?I@X2&F zUX|wU)&vHghY|~Oie>3toGI6EdOP-KVbAXB3eYTBnI>C#S5%2~Z*TkvRP1_xFMel! znp67o{_Il?>?a+_8Pyy)&!t|IRN-#bxR1V(+t_8_byAvzjE59ErkZiSNBWU{H_3n9 zlWvjYqVcL$w6vjoqy88f>uzvE*t($z=+UU?X-AaNF=?umZ&>83NJF9%I$3X(lfSgh1PD*D~K2S+a9Dd5^f7vFJ(k@_*L{d%VA;l0LzQO))62*?wd z-2N=bV9$`@b`z<-@cE^vrG8#juRLfXXu?N3_&fYr{CX-^|2WTXxKoKubvV8k1fB-r z=dh3a0UrJ{gqnRK!bwRjjS5uu2QI1ELROVEUSW=&%WxB)2Mk>NmgYnn7%FV`V-%4h z<|q@~Yh3(;uir|L3AC<1=IbtIP-qn}XkzUmS7ufgnlSBldcFNx;j$7yO8H}4Wg=+G zIpOuh!A+##Ec*v95|`8q6SN&>*mXM<=gbx#hr8eQgQFSN&fS$(o3;o!&mWdPA{MCz zAu2%%M6DZZu^?7d`0fYIL}_)lmaej_C(I2mO04^#Za5oVb(Jdm8FlK!etQ1e>aoZO z=2o=Recoi`L!fNr1dWy&E0!|W=Lr^+pQnyoW7UmwziAcf<9`|zz#h}L{4mM zsV*~436Ta5?HZi@`~I>I=*km#${p1>3b8(rBy=TPefyqeZbr+vJ1hI@r6ad&2&+MR zaHiMtUTsd(Dh3IzmEFI*II92L13VGQws+dXF~sGaluF;ztnB( zN4@C7CF0khlcpg8P&ncb;t$4@`<2BH+)jX9?UE)*iOUvwD-cE+IyNYFt|4Nb^!NL zT&iC$fvChJm6u(SLT)T*ilD4b<`^nkvu2i?t}je{mnOk9#_IdMU~S!z?1*;)bd)?x z2CIX`4~+{)-k)v1bb=8uMB~yX7byFn?}>mZfY7`Irac+NQ6l zlu#WvsJln;>VxwN?Z}%I}ze8 zCU{OZ7d|o5lIMs4?tywnUZ0~;S^~Ni(Y>N5Z;4;Ynv|85A>y&8e-lgmoQK6F@3g{_ zpDE${b{$gDL}VX_a{z;LVY6UPv*Y7sd4o^4ebneNR#?o!tRP-8^A#`EFzIv+&GgIs zVizgF#Bz(cAXlLx9%k-gPV?@@m#dayA7B=>zH@b9MK3#z>FZrcY z?f8N6K}2H6D(jaEg!d~bx;mhpo2M=s%c!gJx=d+9CdT58Sm3)Xnx+Y3&W^o^+rn+v z`sl9tkDM=iGU86q9jEgrIs8Jt_!(vx2RgSjB5jN%I%npdL6c_@h~4bBkcp*Q;g=}f zfi0%=nDBM;t|msRx@te)BpS*XsqKG4KD>mguPk;+q0y9l9P95tIN)afpc5~;s^Ryj z9MY@(TFrb1f3(+j)G1n3aLP;cyGu&x^wo^^Ts+%X`mypfVFy*LvjI{h)pm*$;T|_d zQ%uHoBZ_u$cQ4nzUr3EAa%T+*eP;L+_Bp<@8HYEY`Fr8UdKP8Zc|K9NtehQp#coQF z#}usW3bcPtoJEY;Hz{(c$=nGb-k~wbQdJN8YCgM=o8y@NYil?%A?5{i8qY7RgT-;> zl0**l>yFp)jjT9lFd82PU--|HNIDS{r84_tp1xa2(`!;=a9H+goh!)T4;#|w;Sjqf z__84a$wj>s-ZehXQtlP!LQt?qhkZ_Sw(^)MH!zD~Y9uVvYxX77Bx5)!?^mPJr~4vL z=wcdlkMbbFFs6$08|R1L^PH|}!XLPADIWT2YJXTc=5RWH@mD}9cWqSV2vRXn`&Cg< z&Qdqi*j`rQ;SngD*ok4NQ(2@tZy)acxlOaA9`v9Cju{|AL%~=PH-COE$b&6Q!BwWh ztBBn|{F!Ye`zwB)*^Q@sEL9cl4i=V{*Z7z9Yh<0eFI+otoy9c7EMdxX^26TOkuDxn z9c^=)xxJU?uTM>eM=pubDmIGL)waY-p()yP%+%geU5Z0_f7@5sGjbB;pNn9(aNE>= z#2-i>H8WZ3!A|GhzH^{gr)9VH7dEYY!!T=4ok!yQa`4)@kt}2yzCt1DQ}D>Un4>cU zQ8ZfY0l!u|)ED;)icNMsOO5(!=8NQ<&TW%yRiiUgWz2{__Hevqey$}<9RlVgpwL+~ z>4cC+RYKEA7uG}QR#mL8q{?8`-A{tNhhAP$v-f+=doF;Tvy$k32!?7^Tx|`9R_cO3 z^y5)pPfxY0wrIKYem93i+`8@^lc8WVp|?l?%B?Q zB8TU3$$rt)N8?hO)7XRWbbsVtc%Ray#)VFWs~5X$n|L47w*5#$Z#20>p%lpcrV>t) z@6~g5&CkA1*zoxuY#382$E8%@{AtyM6la&137WJkvox=%)?;QNjMz5%Y^f&hR-Z~` zIYHyc_}t0a_FSruyaI5jZ5HX$a9-oi6AGvx!}&N7IjqQB{d8I0E+= z`*6v+jyL+tffxM0_m5K|BDRU)Ae9nb=#;vm>DIiWtgdQ3I@xto41Y~Vd0z0-TOHbL zMwjW0+SmUyL%jI#A>RtdF^*gII^mOXv0ZU_%qJEHT-BmBt$bsAN{m-C1^PyC`&)Nc zzuB0X9KKJC)VA_icUZ6#V)769;wC|!NX5^|O#OZRK6)^0vor$(HQ^8zT^A(hHV0U* z;J5q{upD0)O2G4tK_hFa_Ix|XrS4l2lmvNgjQa$J_}N+`(_gk1X+ju9r%|UqZm6-4 z%XP^ccNp4_`uU49gqY{ovx*r_23m=Y>aQM^u@X*u*mx-POyF-@O)OTi_&Zq`Fj?G74>~&T@?Dnx>%Im^Yc!^TYy4p;MAMD(I!o85b!n%zay>xU|{I-Ajgs(I_#OO{2qPx|@MROMJCMNOdIP=#g9DJ{0P}w6^`pEzj*Ec8o+3aYxb#l}3FaRZQvbbThfTRyehMr=YwtNq>_0`Ecni=IEz9vDRf+1Vkwe8^44m8 zH@;-cLg=fEBjEdDXPP#&xN1dC^E1%MAPmh%rqO9zw#WhoUVn&t>{!}idtNV_hvFBH z)rRqY>o|rk5B>PLw(2%aO!NW#H%3&Sfa4#MGQh=SoXolplYqS}(JE*>QGpV`l z!aywGaJ9e81ahY))1})#?3gVc%x}q@mnUHG>-9#ds!Rie!)m_SEOuga7AK`RdolX>;ga<3$w-g2d;5M7ji!x|JhcqKMs znFJbopEwaG<$dGoCERXSW^ZlN zo65QF7Y;Ms%&czio5b86%UH*BYRN;kLtq}HZ@OYaPe&ZOW7!d{Gu;>xkM*D*R(if9 z8Tp;PqMaETxe>M+*3&9cal#x@!K2q-wfsE<5Q!$?A4DQOk*!xXKTNvqx@x@7kr4X% zie=;a)J#^ASYGWXW`Ri^mi!Ecv(KcIqU@D4#S})bgqrhX5Z^oL06ox4BFw=!Wqbj4 z(*r*J`w~}bL7La1x}TRR0-3P+*^4+Lm(JyK1a7H>s}MRCE-= z0-2T=PwJ)koBco2Ot*!6Qi`+WGN_T-{LZQfOQ@FUE z-k{GTzhM9YE*u(B8ElEUkDJ}C@9ORuqN05Iu08j? zT(}=zaUFK~`5O5Hnu*4psz4E5Q~G;mUURH^**#g4j?W~3=r z{1$4zXFIWu;ey9JxPeC4L7W&opF~J6x$n%%}&fw|>PjP@|X zm0~-Dmv(ED;~C*D5q)z`^Viu0A=DAdi?toIbOYyx1fBC18a^ZMn$IJ*Q0pdlqkGo@ z69lPWTg?F?9c@XZCMCtqomwW(7aEjB!ISV_kibutRRIZHuUnRqtOX*Ov05XC>X5G5&uvX z7c9QdX4-Ws7-~#zjQY!8?^~?OpnY-ma-{p|ridN1Yy%B?f>TaL;(9`2`PNAG5Cw`LAYgj zR-BoSVg=G$lj;KMRp|X!^?#>`!>|loHetui$<5#HoBcAX@9}hI6Cs1Z8#qnEwAW09MWwysWY{>hq8vJ2>!Zg z|Hx;8M7NQVFEwaIoi0Ci5=BGblo;{QAG}I4Hq2wHC1^DG?Xy)ToQ%Wf$ph1Bo&!X3 zL9&~<;fHKAxJS9jpr&)T(m`C)g6azG)$f4pu95K5L1Mz@?s9-B(5LQQ|FY$)NzdX3 zb!Q*Abvod)5`XN=Yo$t1D~XIjh-OqmBV@k!{4F$3$)fXVzeR4i^_vCz)exW+QX#P2 z_I9(lOc8T2@@eRZY9Qi;y&XfHDfFV$mG)0dj>KNK3O~>6u9P9g zeFeheR^#8>C)o%XJk!h!npLZ_9ZgHaih1q2la7V!Y%MvjvC1vjw4ajbbbpfQ4rIqe z4F3yZZy8nBmaGkLJb~cu1PL14og`=o?zVAvcMXsPcXtcH-8T{}xVyW%>$j5Ar@QaH z-S5ZX2Moq$&6=y~sj8>utRkNjo8K2_Fg`q>59 zBrtxfU*$En`)&Su@2(2x-XE5dx4-N5cGzcWW@g8cce<&JFfey>sjzJ*|IIfwPp|#b zE(mC?W7p5mNGbs|J$R-Jo$&b0XwGlQD^HObB`b-8(Dh7!I^b}UdjN)O2O*1dUQ z%o}@vIO%`H;o;2OcCGxqyat!-DlOo~piJ>5w84tTYxScRe#ft{$H$i?O~3!%<4so{ zKSl+@PwehaE^loPY`KQZPemN=)2wi*tSA++R12~j*3Y9Z@9-X&kH|~wl$p}^VzqPy zS==DMjF#p(X z5Bvp@?36xO_y`%)X#`ji(LD$aSIP4rhp(KUCI9lX$st{61FnY|)76#E!DY)#Pr+6S za(esNwLa5v*V255Kpie-1QjzS-?ueIXs$>ti3HHRm>~TzcV|=aT#DD6+Wfl~7W(_{ z;^I6-kxg;^2Jc038KeKWJ?%CmP`wDh?2k8Dc+-|voCFQgtVy7JmD&Pym*j3(X5^ts z26o#QqJHfrf$=W%mU@e+&$!TrsY4hyftmK(baWW?ejD#fVeycbg+AXwTR$63E^Td< z%e4h(?kK-08^(Tt);eNAPL{^mI}JGJg}h?Sy^6|&W^V|md@2}U%C#xD;nKLbbY%=xXr>OVJO>#8F~wl&n{nl!TpmS!AJj` zvmtpc#R?zBrDUKhda|DE;9IpM4^$^9(IkBR)FNdGH}=udnEu4duP70|dqIH+G0T}i zATohS5K!OC_`T_5I)AJIUjjuJ3~K9!JwO71BvAju(_m(B+iKQCn=cA>VoR_*^$+z+ zT)1gJnI9FIDw!=y^h0>~oU(zdF<;G@HYJ-4OUOv*^t@`i~S)7ElPR7huEwSVy7B-YAu>A-d_ycF5t-^qE z?Ob^OE(UW6Ji4kUbscttald?MQe!ZE6&AB_6BiKMn2}JM!F921m;5A=1GK)mE1mGY zf!TT~>Z~}aBDH!omi#VY){yI@b3s5kHba5dXOEDSMVix=FI~ucL$kpR_?^%$zXMzQ zKW`U9zL|+)bsxF3stoXILO9OCWM+X@1s;*Kta)1y4Hi0AG|A{$9W77apY34oe`w~7 zMafUQ>@p@aM6lPeVv!k!WVKzx2mj%B2BxVy-Fd)W`Nxv{Z3{@^(q!V)r04gPdpBwi zR1e`bwkp0`1U#d%3XkxsZywrg4}MwtjO)5wOgRNks`MEps*VytDCq(Y9!82wZ~>z! zh`74K(62iEJ?F^45x%Y>zumDh8IP}51zvMOUPQdJiQ`YlO-IY~icN&p@_hwQa0wpv z46fvs0cVpI-KXyO5#bS1>A;E&u^ynsVniVOe)4@TI?CvIuUk zH<#;sDoOz<8{y!V+=WbNWY2LdzEzb@%9t)z5mT*FQShO24wDYc8QmpS20byr0T-w= z&$stQg~eVVxx}iDnlMc`y^yKgXQk>huF?5AG_ubpXfP&7Ou$Et+xp&uW<+XJtjaa< zG*=jvD*{A|dRr|5A%Qye|5JStwg=tov$)FY!Qvua^&Bj`ZKx1~#9CpQxhcTwe<0(y zRyG%dqf7_U)p37fG;CQ1mD>e21T97uU9;djsj~`BV(X+YzLY*KGTMd$2LV@uWe& zE^3E>zCiy6*>u4S5zOL=cJI66D8T$KX&cg|E{=tw03=L=B1%w-yix?3IuJ9A18?>z zl-`l!U`q)urSzoWF#9R0o$c_GCK4h^HqPgMN?!Q^>wV0MIvlyvKuz_- zSV@?zQ;Kx~*|@P&s$otRNP78w^VMB)<-N=X|Kvb1Gi8y<{s7Q{r0z}hUqwUodw+ro zCXMs@Nzw}kwl~Exj*h5K?GW8sJ;+I~k9#a@+pSedP?w>WF<8zG1M76f;VUcR+|8Dv zG93ffE4*%xR%7K>IgJytxI0_z3|NjA@bH2>AAQQd+tRn(?SC~(vcF)cJ3_tiN1%nf zR&wM>dWBM;%|CNx%eXl#*N7?M^uL@70QVtK_xhp_*3TdMJN-EkNoYn;cc%HiV^RIl z+!_|z1gRzpQ7i-2(h;mFljp{gM_>Ks!uPhRgA22ZkIJ&w+a+nQ7S-ycHRl!Xja{}A z78mhh##91ytSai5@onsRuUuS6&9{xu8?^Yyb*BZCm1`7drkmtTTiaTvf46N8{cHy` z$pQMzQS{av5C3~Dty(>gFC_aa&~J$DS&dhVDsJlAU5FUGY=2b0HHl=9m)^*2k9Z3n zXRscL!}0g(`Z~STa0Uc?rDqK`XLswwZUhTpI)YLCEBa!`;p7gF#9}oS+6>mgE#) zYV4c8Y+NhQE-=|yaJxz}f{$BK<>!60az>64XK$Pt`<$Now%L=?rkin)eQco;XFoNp z7>AELoj~gYQkQU?f}|NPk!A1jSoRV6kxVHf(BSM7M6f%xVy* zBUfcBTAA(EkxlOASC*zqgwDM|veD!-;4-naRl;TA`P=Benh43i0i5h?VyT0XN-+LnU7?bQcDkeqio~vby^2rF)rP4b@c__m({V z#xXXIRlkKXV}l!||Dt~_X997OQlE)@a1UoL>0PGL!mdN`^2b=OC!c?GHpOd4>2 zTCazb7dR?%eD#t%>KFD&a1lq)!>GD*aAx`Q!dG-{89i<6?3Cu2fdEvio;HXC>f?~V0F@(s0OkEO* zUg$3ZBQ4Ghr-D8i@zvhWJewR#w)3XZrHb_txGE9^S#n$lF9m0(FuTu{As&PilS9OT4aSp_uLHwPXoP z>&QEFPUHzatCMsQ=)h|4UZx#JmRSa;K-Th=U9jRywF|{Ku)o z*GHA!diIi|q`8=aX2lz+G=k1V8WYC~ZsuDul!8&S99}yKbJ{IJ(6>8t6RT zjmw2X^Q{D(|83$+Ht0oYHcn%$(t6A5Wpq-Q6`(u&{IO~u2{0MnrFL5kp0q}fSg`cV z#1M+-1U#wL8-Xequ72E*nCPp7L|%7Vr^be;DAAIVf$PAxZyiub<1M5CvX-9q6btcC zFiCy4$HvN`GuGE_;f-40%p)~R|e5qaLvQwfqb@14&Fdy=HDop&sI zs#uo4hZ)n8Jd-@TBlmnl;6rfQJc+7c`FStYf(en(6%4mN+Td}%!2|I%UKdjUozD?T z9|!nSN`CfWN6pRp70j*V4Cb2PtQg;}X}tHY(P@3lRX4k^qMfY(hl4{fXvcqU{XsNv zk=n!i?bQr}{r}hn?lk_dxnhhiwb+a=09nk<_x$0IfG%rd*Xy)?U%vR+p1dS1=&+-K z?~y{QK;Tndncq~~jL7_;HIbJVnlk&=XJj!ljvv0Ln< z+=7B<^fP<)d!AoySIuwC0;Wb7RVS-;=Eqd#OH?kxj}iyor9}^iafG}E&!XI#qtSu6 z(@=AATB@qjtOAkNc3Qi5(@HBV#|8)A3J7>j@I}2@k;8+&^LgflJrGl)iB>pS(x92l zx58D7tS1g8%qs1ip0^wE42u&9V_^DVnB8kFi{nI~(KVuz7N5p{7)TFitQBOr1?{PY zK0AP7$i^2rc+fU@7FhWD`Sx3_SC9WT5%0xT*87lX+2bOcVh%iHcS`C`pb6%LKybs+<9o@tBj@Twho#Lk zV!R=Gtm6h2-xo^@3_2FuM-8v&n|#wr{GkmMMB(=emXiDc?F??liA2L8>%yEVRA6Ux zWen=`rtpN|Nx*)4BxW{2`7)KA%jEE&m9>Ys z1}AtgrWoU+2D!+>377pOy%BIra*8^Z4i@?ouNJS~VFqASHoD!{*^bcBMb086 zI-mzO-%{1iH0kmA#YOeCKhcYSZ8|J2E;ScB_dFslB^_N~mRBh`Ir)Kp0XgaI{c6Um zXR6*Z5luKmxSKQf#(`-H(_}eSUp1Dw>~bpj3Xc3RRgWy14?plGRS)z#nAK{>?Vz-1 znb%nj*>G3Zzx5hBll`UX*6~cz6DpdyNh2HBlW;v$F9yx>%V>Ct?-Z8u^0SR=vg#5f z*#Z>8t?3PFnR68LhhCs@{B3!W8*Z*+W;7AMHdM)&z+kAX1YoOGLqDte zDSN=@r_CeSoL?EypBy2^PH~S=2CDSGLLl+g{;&J{76J7rhAOwG%UoHtIvM4Yg{4_i zU3tBZ4R;J0u9j+SL4)ADW0a4b)`jU);oCSVFE6j(Z3O7y@Ti5$MYCj3?Mn*AvwTVC<^wrPIyT-ECK=ul2v@Ge5&sMI5MqH9G)zQ%?>6=|6NW zWfKW=jwGje-QG!D+#vX%`9La1it4y)vS{bvX8-wV{IC$W)Wy%od~ZCW9&pbW^6ZhM zLcqx>_{*tfVz@fEH%BPU1 z%@U8=l>G!e%Ly0{dl)*Wssveik*BarO)dN5GM`#BC?UZoC3&v(QDYyY0l0rqVPJH4 z`1?_u|IbIwjt&F!n}Fu@4l*)BgEo2j`HWii%z$MxBIxT{LX?wvaC%j1-$N=t_u;~d z)bp2OCEDRvCLx{JiT;J{zp)00E2b*SGF%R3R*#Mt7iRjkW*1NmASGr)tw=;Mt+HEg+ykgIzuQk4m5fVI~Lz21EeeD5Lr}A2kyXqTObJw4vG} z*^+87l@NcIYr$u)2L%A7BX+R-tv}g|gr5ImmHKuH3cV$oD07R$1YvL9z8zd#^vcIa zMUB8f;74hbN>-bSGc)h>JqUDnj!nuqBY@e;2Xs)xh zFy}nN7#r7b@ZdE#t*S-d4tb4*61pcg5u0OplE+NA=B{NXUW!)GmuV{c{w5e6I z@V{L|0}uuAz|2}ANDQa?0S2)D7NKX&q!6uWseSmkWB9l|*W+ZC*VW4p6`1a^5GWaA zJB*|Toc}?0T^D?~@uC#%cy?HL1ilFmXaaLhs`pt8s0JTsc<1=|JRF;-euo)-7=OK9 zU_m*cM7EPr<9%CeK;j`lVyH1Tliw1%_qSKv-2On%ZaGPcAl@GFMhSWT>I?eNqZdw{ ztZ63*tyyazN|XGK#g6<5`=uU#wkNX*f+4|$Hu0hR0I=m8@Gxv*b((+s)WGqEsZi0v z@doh^ST(h*%ed9m11P8o7VHDp_fk^)f$x%~W7N|h(p4pTk5PjLn2H6;rZ~BD1k;_@ z#$z#=0)qzmqpM3Ab&Qp#hfD57}j4vp5*{4%CHv*Op|fTYM+v1gSVFQ{i}B zE$d|vyV{K@`9R=faQ4$$FLoN+9KehME;@x%JoAuM+gi?ph$__&=F~Owm?rbm?pqn@ zX_}DoC41XY_o4X+Vv-j>{5Ua>NDTr>F~(LPL{c15E_(OZGZ+CwF|M0113F(91tn&n zuE}U>as*dED0|z&kV@jSI4UKGEpJ&+pj%Q#cG1*+-R2f&O4lz5_VV(P8I#5WiHQXw zb@@@DTa9fTKw@Iv+b`dr=v%R(Y-G+jjuYCmeNIzR z8stbN09m+G^3=>fVet8A&%uL7xxi`KH%6arG{n1xVz}Vil(TP=VbXgNie*s;J>2## zN}6B!w(3o3u0L=0*73)*E~Y|uQ*MR@5mWZkRdSWW56RyntR8IiK1BjyH;{BPEUx)Re7<`RI4u-`G;T3+xSTw_rORoW3z+nF^v%e`Q3p(6X}oH7sLwzl%0w3WQ&`*D+JLyP)&;m+amf|t(J ztlo~i^FEc;r-n~kqi;%7iyB`=N*Yx{o{GNTxxX^6P|6x}o{*gXm<(^i$r0z|T)Tg0 zWZ+jA%xCPH1Uq4}rMq$=dy&TuD&fG10ms%DWEtsb2uCgB z^W>;uB91!}P5o)cMgM(qv;Fi}LYS5DWrTd|=QmdC(G%l`@b5`&Fv9}V0#{AE?++?^ z&lAda9Jggtm(SXVnr?AH=eR)oi{HC+F@REy$$R7hk^KSmCY()%&$ehCnVXFOiuLD zC3G9BR&O6;y?LZyzmUBT03-7BO6w@8x%XKkhsoaNmNj@dwB`ExdOW;?6w-vznT5&l z;7w#rUS;Jq(-bH6;nt2tO%rUkJVSuv+Zqm5>&z~)&nyo$2>$$#(1^yFZO0VxkY8I) zK5==Zyl%^VKm0B^wSK({Z<-beuz_Osd0kTlQ5bYA3c_ z+iLFf&HM46C}lJy=I_fiG^_X-m`W}cylbQr@iTH&UG^>)&+am_`6F)V^xhp@o{3s) zj+GyLOGn8Yof)4?*2dUpG`F5Kb$1WDs}N}K^*>e^f5sxpSG?Gv%XJT&QqvaQ43Y1E zZF|XwGB7)yJ)vXsj-4IE*yr%9eA9~i`I(LE7F>atnBLtp4Z5Mfp2|%_C@WJfWeDr{ zTol+R8iv+=dS`Be@LMC&XWQd04i?S=FXICYM#U@`;#&eU1iygG{I#s+qN`sXNAyH^ zhrdLM#0hfp`3Zx0a;1F}k+BwNq}GbV7jMf;Mg2D%bEjE+!m{~Pkex|sWGt~W5E&U! zX}^|dp7$;x6@!JI{qrpAdFRXF$~Rj~bRVzIf&e;U*pV_lJw2y-Knucc$_P$H?&Ghm zq#195yf0}fe^{wG6Me^ekuO^qk8~e0{_LKwo`~l2{&r2*IdFk3Fk+8{3@wJXhU5@WzERUjw6mq>?I}$srmYOYu>!!&K<-J?9rfu4=vB0wETyY zhPU9JRLeQ#1TX6AD)9uS31&!HOqLdf$67q6g(F0 z3V&4|XzdOAN83#dbH@30OM0_>Wc2}>e|lDK;&xrkE=@htA91PN4r^@k-8fOb`ak3$ zftR!Go163Y<1u`lAK%^gAx#-@fMShhx*E`ADUp%Uusiz)ZKTyvRguUO7dB0zx2mzDRwUReOC zY5Gv{FdsFjvVOx(BiOp0&Sj8%dvj&F-*6nUJn>MXle&ztpHbe~`V@WFl>jC-F<$HZ zN`&e=K0e-qytY}Rq)w0^u-__^H_?x;&Y4!Yd?|{CdYrz+l5bgsu{c$|{ zC$U3*s!Fd9&l%nXj^_X)iGT@6v{)1al{Pfs-XCnqjMTX43u>v4k`m69g`T501U@IE zJW2Bo_RjIj`F(~ptS!u|9~`fZl6G(Vl9Oxu@}l4_dE<6PjKk@`6ZBX)Poc!2E^Lu{jVyWJ&3ysNe{I5k`=KnmcJ}wDY{b@UPCA0)1hvfu3tZ!nd_xZ|=GkWni5OhIK`LC# zikwpqx;T*2QWze33oe$CL|E*|Tg#}n>KN+rQlWbI4@I-cjh(^tU@Xqm7x)h%M z`IjV_2B28=^C`?pSzALnBD=W8ax+PzW@$maUw$)$`1bagm`8tFdkI4_-7sQ4y+1A= zX|x*As-!&L?bl~gXa3JsLNk1qXNiV&J=ZeHSj@Y(XxGYJVH)q(S2tWZb6qo{g)QV% zuzVh-J@w40{Ov?iY8{V93yYrEk06o{uY7IPj*C@Yd~VDJ79W}(5?i@FfFMtyNx-fa zAlROElU%qW@SNl%UlSAA!--)ih7&Db8nEQd2c-;`Wd-&9m99*4upkXV1F%^ z{+;q4g@uKTJ86&ZD)FXilv$V+oON00QE$l?Dm~VH4_z^_rUNs#8kXd;KP^4dM*WOq z(q-;V`Ct<)?*0KO9x+?BC-}Ibc=RfXvCCHNwqs_xfI$9}u_X-wwvhBw*56cd=5rqg z-`W8vvE5Xz@PaN*W-?FF>G}%F%iW-EDs$JSZf<&hanWMu@HvmwW>;CD4D!(TylMdo z-QQQvh)JF6>H#IT+Uow1cyYxSkT@NXcrzr~ks;C7gId?$?O}SpSC?D}LA~vO3&z1m z$hP>N#NX$ohRt-qs8q7sJcIEBkald#oMQvLgiM_D8+mmLijnC>@=p@s-eU`m4#pCl zW2pz2xP_i}nrb0#KYsj}Dgz7o=VuHHvK>}X=ks4Y4D^tCot>Hu^Y>f2uLhdQ3h^GT zQj>Z;H58;LJ>A4a2$|i&uo+9=A5NU2$|l|P#(EU+-#wEgot;#-*X4imx!UbH_WtH3 zU;vA=5ERD7mE6=($>m=F$EGK?qUeWD$i^6QIEuO>#*GGw!0R^lUJ?-K9ImOnXz_gI zdy7O0M7Smh>E;0uoH6O@x(u5kxm}XsNM<;UbV>OBdObHr%GWlLYSu4l{UFGj^Cx|X zL{h?MP?nDbOx{8#uU<5bkAF>L(#vCd7b9VRtuzM#2&m zNo9o(Lkdyt>MyGe`ZMel$Q-Zte(imUTP0=Z>$eJDqejLlqEfH1H*daufMj>9Bi9(E z1>N19>4!BI4Ufvc1#uxamE;wnRzkh`BYZu=NEF?RJT|**GKZc?a^E5Ft1bU?lWs zRfYJ^0^-gS+!9I$ZP^*i+9Pv$>zuDmH= zT6mXPF87)nVhXe~K{Huu$i59I|EfOlPySVXXv6Erm65a!s+%Pr{P1?{CvlQ7UBv>i zS%BMSWI|m>pXgI~zdpbf^);MM$QpS=P0nLTlwjSyK3@7AYhq`ZZfkC4wrdTBIv(XcE>4g|OnR?rp` zz@h^&u{VxRX|7Q|V zPd9-7do0_0S;nRuKR-Xv`o70Jj|7{Ao5Yt0*&g!Vk%yHv(g#l@3}!RL+r7s0RmZC5 zhWayEIca-WSNglxNCIhDw%a~PP7MvMI&qK}IiB=RR0Uj5!ug#y=x(nSTM`_%D&N8G`>NAfwSc; zQdEG|uK<$JRB6c02{xsI#%CecBRydKMZI?@b04!WO zjQW=WoyiZ|&is4owF0eyec`o3*!;c!yJ#Jbz*f8GxNI4T`}xO`TZ^*yrHXjE4k}ag zIbH+@FEY=%O8)TxscVVD@Zdh&17UY#guiruBPV^eJT~?3EEnKNsz_H(fKJ7c03Nl{vre2i|UU*<=ZC$(zXn?wqym%MFi^~VvKaP|lo zz~71)J_j50b5p9szFk?h(@_6>an`5v?)?^WH&G@s*RPc8CrCFqT z*<6J{K8{F0m0QiQfsTA1xZgZMA$dkc2Q6Sd+#(e(8{UN# zYkWIymJ9I{o0>GKIJCK0{6G}5y!A^fiLm_0;o*_+rnFo9JW}cFH^k2Swe#un9m*q* zZplrg;54K^DFG?TSQQ*VTd1zi^TOQzz(7 z<0xtN(FL5L9pQ0~(3FqfaDTddz0xjOZvwpp4#T<)gE}Ffpp_^3?84c0AFEwbF>8#A z>gz-!;P>fxH64M)j8o79wdfI@tons7!tP;^(zo@LimOkCNie#r?nJ1;WW?#1=oVBU zV~jiv&r`UE_oMKpaWl_2(E5?|F5b5tcH7W@QkdYt3;s^yF$W=;QML$cl3i*hrek2% zJdVQ+?PrxS=|YVXHUeThIUt`|FoR^D!HJ+1w|}lrvXD)?$x??(^q&DFnpxb&RLwW? zayqm9{;sIT1ZsT?^(yz1+9R*C>PjW__Rk7i>@D~OJkT@tw#;gpcCUr6n2ormm~K@F zLYwG~_1mW#Y&tACCB4(*lZ4x!ux%|GT8LewJ|U|7Xpx+@hZXWj0XWKa$i7KxJji7w z-QCUUE|GEYhY1i!w5SVkz4mZ<-nb|oxWzzY1?x!N+ zZ5aWb1WrIe$fGDwr2fWpvKRgw?tIA>aAwp(+|_lhE%Ox<8_F-ykMmTuCJ2WTk0OTn z-bSU<@t%82i+xkEONrN^(A`03dKK(hv7(qdp7iuH=U7+~sq+#C>SWq=6*ut~x>i-h zyoZE{qI$LlW~EOT_D0VM+EMjW+BHUNSNA7CIMw@1D{&)y8RAYsAy4p&%N3j4?syP4 zewjB?nd><{M|8saylv++Z>#5Oy@TJxk(|Wg&v))5`fR)SL*rEre}yb`gX{!hz%U5l zp4Yl@k0?Db!SOK9!U`OiVpx zVhW2Bi3*DNI@42n&2wt1s#CO9`=h^jlOt58rmin%jz=lp3(aVjKW|-G$Ggau{X8hB zII+2L;oUn_6~#yAglkLgzuQYJPo0YY7TXcMrglp6%n%+m3fFcEH3K1ry5)ip9i6Rv zYmD1^A_)pYPi8V(lY$8SFg$OlY7yJ&#ij#uGIaOta%*_$verBPfT&CCoaAs$zy&{S z{N-;ZOqgM)!Kq(lzr>Vh-fmRnk?7y*Q=w63C-zwd<(!4_FD>rtOylM%-y8Y6z#bYM z4%L)oWRV`&6J51;$VM(B|5VPzyyWN|5TEC1W^ug*W@)Y{F)Te|6wqg`9BlRwbM$@A zJ8Ghn%HcW`BC4fq4Adq>z|#h4VIul~8HB>E9m<4Or9A7NxheRg#Lw5n~6^VhwiA!x@-VQJ8@lCOWY+o3WDeD{7x;+poX5qqnwi65G4 z{oZ=jlIr4{AVryi^Kq8T!1+s6&3f0sIB?;np@Mz>`a5f zS$O_Ie(|8Xe;q6@b}(Wymrwb%wwN`V4nYK1rtNb^y8-4{sW8jNs0X}b&-$h8$)%p+ zw!@VbgchVCA(it0PP1KRqLxlPX~%^WgapI4IcXT#?SQ?{r;o!$vFNQGeB~8lt7q3A zU!nq2RuABijD4tRgcfxXeUAya>~`zBC)btsy^BxNK`GtC<+}xHj}(?HtqV5>mN)2} z3vkCx<#*IG7i_N2o-6lHx0*?}LM*c7GrxlDW;&aVE`{?2p798KetPgvh5zhsDxYok z8kc1!JesT665pSCbWOX4wV@9fJ^$jlu>b&pwUET&8;RkZ@}!FcErIK4##9A}ZQY#x zNIW~{!hXFe)!+o)s{y=4>tBBtaAi#7_18kZ?&B$`v^{2*Q$!0VA?WDx6LWo@;E^#_ zdVZ}^md93#`ufB->k_;FDwK4vU<}faKc4 zpg3d6K++Zimr6tNV57vwJJnj0@Gm+7zm%AQf>4Yf7~Hri(bFY=O#_sre;1U07x96B z9xNj>vC_pq?Oyf$^6vQjEP2pMRa5DQfT*b0`T1Cqiy7-D=ZlRhWaRd)_k6YL1+5u` zY#k=S$DWQRC=?b$p>7ca=O^4N2{0sK;#+WG9w*OLE^kIYX_-N`o83%|-FbJ9Bz2;% z+}I>vyrd-FDd!%4>F6Rc#vnt+bmWMG0Sy(+-t=`4r~D@gO#Dy%Hl*&9lW*-I%`1zQ zQCx2AXF<*VA%^|YZ$HLM<#jF7|6+Kz(!t4CCi0;)`8x(b3xz2rlY> zyzO}mdpuYxCVs32j-`tB`yAn>D+Y1+~3%E7i|oGa@!edSB)8^5>HlA>`GJ;>D5l=xR%~t3N>qb zjjhiMV~w|OB?)u(WG=5L)ASvi3-6?zKweeGz`$5DM>ubK+55;|Eo8kUdr1dI##ugX zvf%@D-b4Hrf7Ay=v3Vg!DW8e=ypkEY*j!gvS6?Pz9_xwDy1{a%w5mKmp(s=3I-Ml)V%FDT%3CA*r+Kz1pRPZxGMc5^@}#4;o)qdSRZ zr|eFFr&K>8P{^%1r=!3Gaiy{~bG?z$Z%THRwymnyOzU3FU8Ts$#F4UC#6W@*pfVX( zm=6BT*o0MoqE9pG>Y#4a=rxtVHRf)l88;@945^nP5wSzg;ly*Ssj zX%xkp)(^nD$iu<%-t$_+?{{C*XPQpbI*vN;7-P?+#iX!)k8<->_Si;G%N0pSzm z8;}jPi~foGxk7+$zf)IE@7;Cz+QQLQw7VGr7Z0{7^2$wQF_ka4S+I~XEXH*hvQz4W zM2}^ip-Yyz5tq#j6W_tp|;+4|m_W76nj#-l^z}ObwpD2JwOy-3FENI>!bIZ`y(h4W$<23c2OHh%EH6|}%5YY%D zHU!V4-9aFw;vXi2eazgZQbb6}Tw%UIg-lRKq_wI`xkyGTsbsh-n*i$JvFz5Gx zEEjH?{mKec5r-po*B1ZO-iTLgCTrU3k;)2{zh-}XaF*)|_X;&2yA}L{SfLPGxumP~ zC6~wP>zof7tBxFEXg=)h)a~p2Ky?-EYL!O0T2Kp$Lod%)SI-(67+Xt_c-yuPooDkpC9fQZw}o9F{*sR+V=oJh-uqe97z!rP{CA zKQMtfpT~-9jfXaQZOZYu=v4_(!56e-H}%-F5FIOp3QhK2eeXq_R%4Xc{9tK=J3J#L zo(7T~fMotr&<&Q!oWC`6#|#|Frjdb-*mbtqPeD%J*x2~S*+}ZOB-`&suzJRB|VNWq!v|S!rDXrupin zr)0qw-W`u4G4~bTo;E51Q{By_AROfi?)0l#rQt8hK5oaI$btgu(*(T*fvR$*db7bm zk;zGjXhYIj^4r%GSAiS$8XF|-gLr;q5P#A+TefoR6G*VQr5;!f=gJX;#|uUBas?o( zcX}Q?lLnb}zfFM>C{FWVGN0CPpJgSo7{FsXrGL2hN69qJaFnZ_N5>kD3IEx1u9i6j zAp<~iL~yyJaAx#WxJA@mINErr z3{1@X`<{jm_g+_l)q>!Ol&)6i@6EcGT^$TDUawIkQM8BYxx3bH7mEj@qzLm|3AW82 zy|-^h>6K-Ph^H= z3jNKQZ%u-C95y&&yFxh4OGL;1q+M{lDnA<=YjGo@x)Rom!#UDR&!sn{0Y#+O$F@~wH%R~a}M8;;|S39Kq=1SL2%EuAmnr&ydLey%l zLS}R(dU{~I;EBYkW~ncMa8L&2Ordy7qyx08SGAYOs2@F)RZD~JucguNp$Cv?hSLT3 zczHF7CIuTWwTllz#73$m_Ppz{&f;7)9@y5KqBqSm*L;{vHH;#iTze<1`t2Ni3yAaI^Z6q*LoOY^mkfSf4x>p zNt{Lcy>EY+$WAE5Wzx{-5nv=qg;OSb2S{hp0V-Z^-b7v$7n;IJCQCv~@T#g?CpoY&DTVIklc zE8d%f)%zq8aXBg#SCLovraE*+(K$;xaniF&tE6wJ>HMUZ`4l7H0mikNZPRGF^L@~2 z6dZThkJrjZR*E6=0ZC1-<2b>XMW4hGjQrEDq-l-ajsm5;y<0~;729HgzGjb)s6^Z= zY_F$^FVt&>Oy<+TbtR|jHSH#GO~XSqf<9@RZ0DjG)619YZrkPCoEgK*mr2*z!x#91 zHlqDOy+3U-=J~g|Phlu(bDo{CW^oVEF zBi{Hw-l2H@k7`4Yo1{9%p2~Zc0q(E3D)~m6C0DH{*3v3j6!W+mCAIna##aZkZfIP_orT$xVlLrvGlPw@v%v6WifLVde>$))ur+ zszrKe8XFZ=DGQ5|wwD5ae`m^9pBecKE0})(^MBMj=?Q#^ap^O-7yss?xLM%?MQMtU>O=kgDS}?^78d*K#e0Gb{<(6xY49WHs<0}Ep99}Z zvZpq|CAKfLy>NfUZf=Si#7wfV)8a*5p;&|$6Wg9lm$wV99E7hXcLZnhNXd~|P5WIF@ z5ercH$4u91D&2hMUPvbDe+9FNS=GozPd<_Bc=9Y>v!I0hopiNMz{ zCMz=$rHP-@J48&6RB{-vsM%IGaA>go)j&q0;RSjo;P zgqf{am2h%gdj@(DAB`OxblrHARWp<#y+lZVYr4!%uU)QuCfn~-i8oQ~N}J?sWXKG99ltTMurdp%rcUsY!6|z24EqHKV&M3iUb@S3LEco*SN3X-jEcxPAbpjvX=dSoP7mbo=dVV?ve!8 zAPKG^KyVB01a}GU?ry;$xVr~;2p{h5?ry=|?n|;~pP6&#%stQj0npXeRkc>F`rdAs z&QR`^h?iOfPrx>a4UK+ubk zh`!q=0ihbbV|j)s;|)7=o))Yskv^6i2$AL3=dx*4_<3Qdh_2oG+~ZnfwGolZ-{J5> zm=*qg7Ij*Sx#xv0CSy5F-o>rQUp~<3rpPd=gOvz%FxU-UpCcTd04WQq+C&DiL+Z2M zlKxHa2>|}|jS?acY7wrRBlpuKb^+sP0_%FOJm6uC3fiH!fZES-fJ_z>i18f$cU zN2+d7wOBp*Ia~c#VsddS!z4Cyt)!l&u<+zAYU&sQ!XcuprJU8=^bx$PJA%~B3FnLz z=zJZYA`+KD&y;sOaDYV7E!F0RztGboeVJnGsq_5qS3fTO@@ce6y2ooz?wW3S83l9v zBD2|Y+NE=uya?&GCwG-%#jYNO3$dxrsng}8VXK*fh&p}Or<4|R)BZY+QnG2iq`YBX z$KmvqmXm%g%BS0Amkkma4;;o*#F!u;-YDkHA%h(jyw>MfKFM)LK>tC(f1C4v?X-7t zf22&k@Yf!(M`?`6^t*QjR`|?9Vdm2blrq{{aDs=U;~ISJgy(4Nl`&!w0;eG={E!Aj zWUZd6=;uquPe<*fl>r(96AsP>TfLzP{E4+}CaLtSS#roW>T$i!cggD)F7PNWh2_^t zN{hEyX;SaUwDcw49CyTL@$b93RL=*P*7@YjubhEMn)wXpt7OgE02T@vRL*I^+grBd~udZHsCnU5N zUHI6Fh8U!~_{=_Q{n+8@qgO&oN}DGpYwg*{dkncQOMjTN>qlFbepC(m&jS~I?hWUa*TEsx(_xyrV#C^6Rig<>(02&U1r z$;rtL4R@|rJhjN8CBv1`J38rQ$jIzAf!c%oJ0cAiRz*%ZG5;ttA7l-QB$@C;u!wkH z1er=75uv__KY+Shi^4cvq@$nLdf#<16o;m*8#hljd!bMp zZ&{)JD%8bDY)g3iV@xoEeHrMA>RQ*sF}}TeFjl<))VQ~K4`QX}m>MlBkf2gO+qpP2 zz_BhblNuK9ho{+BGJfmk;*yk@pYDMx=~d|aKPL{_uB|0$=b@iFhYoo~Y5*7=wiN}k z|L8{wj6~esnn)KYl!%Wfe(vC-^WfHoYZPOj2&y4I*|gg2>O#PgH4YOCG9&z^0Np5+ z^sj&)Y9+ee^LLw`Jh2_2MYy5ldw0CnaqY-;gK4h&d-v4g1d7PYCaS+EJ>Om-P=>o5@ z+Cn3A7!etuKf58Ur2Blbr4)`u^5OPzX3`mkxLMV+dW6ZcS>07S*|}alvh*JDMqT!M zb|IKf^&+|LbdiiRc*ABdptWK|{#!r#r>qE`$4V-yZ+twyV`odObyN&2u@ha|Op1+I z8#??EfokBI@3?HLHs#iR6kND<4w9mq(WBzHlXOLAHU-;&D@u?>$jrtiaK_7 z_dRUS!cO;BIIFi!Tip6yXeO0xV?Q$>hr&bGs^p1j<5h7^%4at$%RN< zrQ%DI+&$NhZwFJV%`mLXrX~yu4R4JLEUUPzqc2u# z38v`RyEm-q_zq`)mTm|>g4e=JNbL6VjalFUDhUkwNNroxx-*T;Z#tjCn}kz=y7+-F z*H;H}*Dj;8cU+?3)%UuNZBr|13?G$~eEXA3Jo#I>1OpR0c3r%q&o9iMnwnx9VGfH$ zTTe_ZvH?2;q9d+i?%|ik`p);#((8^6eUs>ww=$X_Hf9U}7LKM8wq>f%zAf=dOE18@ zq> zmy8_jek&@(F~M8*+ThmL^gdBe#+9U)vXxMEo)Bk5ValM zf)1f7>31Oo>jp}5}XuLt`}VhF%(#3 zy2@FXRyXHpx}A!xj-Q;wb~@0WCafA**Rh9nh<4;|H#JQ18<(i@XJ+JYnyK#JMUHO8 zLttqly~nkrE0##FHvX=jO5} zZCp2tzhW@K&P10<8km{wm9+%Jz&MXn#1w3Z_eYjr?Ys-6MIEZ)ZiwnyIgN-sT8(-q|5j{8YZ<^$= zWAVCcY%e}O#*htG!v+PxMye0R@r(^$dy8c7Vou^I;R1gxzCBNmqC#jb4qLg427RimB>Z9w5wvY}|C}J

3OG)*ioO_R(^(**X?G|6OE@nu42rdvcii_}p$z&rgq?`L6#ZefyZK zWGzZK55+~kzUQ}LqqT|1pi(8Omuo{cug8&Rbz12ltu3d={(on$=uAUJ#n{kLSpD|D z-IpEjg3JP;YpSipY$OG`jY+Z-*E$_@X~9-KI*S6;q*#sO2?qBoNJ?+XEO z=|2SR`qOvcMn3NXYBh>MBMimji^jFCkoaG>)6MbXgFF81V*UoZI?y+lc|J#}<_Vat zooH>6l9GlaY45kQsEnUQ7@wmGja~Q!#Y0pJ8)xLm<0#l+@9ui;H7y0kB%eM`^uuNB z51CkyX@*ZstB&S>h{eTc{USiUj&Q@PVr$wc(|d%;%vJQgjUppZI3Yy2u=8RQ6{T;h ztE*26l%MM6o_-cGtEo7b*J!Fm5eT#SK9)_~eT4Ja^??TkrnHargDwd@S>?trDS}FR z3U_#2)eo*$hXn-`NO&W@Y>HQcq(-Xm?0?1cFP};8D06Y@8t?|_YZ|7TnHMRTpqn@= zTn{bbgi$R%^!OB=a($6o$Hn6)j88#F5>gNB6CxaILjJp`8*US)`@hC!M*v7NSQs!N zs!G)<82(sIPs8A&sQyob+$ozxozwLxQv-dIBzk z6F0K2L%PVN)9maYXDbt)%cbbe_nZ&*9s{q?C#RRvlJ+tBe?|I@kAAS|`@BpK=ntDJ zNWRs$ECmd*lsgzLVB}iq&qzP(Kb9Hv-t2A>yw#>Mf)*+%t;=nlIvy_ukz;jfTp4$h z%Y*yA@O2$Xbvi9>>kSy=XdM#8OEG-%+Qpyikv39Uo2Bl=VzgzaqO_$wfiNd|dc+On zQSR1w3vO7{vHkDG<5E6Z|0SSD3;!toa67kp*c&*9l`+xBZt)}ZoBG?g&0JI-mU5l` zn#=|tlk?@n%9f4ZwVTV)vr#69k9o+ERXDZ$RW3?_a)EkF6WTiZB1b?Py|iXWNCNhG zHSU=m#PnfkM4Ps-cLV+kYM!E^vLntLy}|lADZAV?Uo(au<3mNF%C~fO_AlApZisOT zpy}x7dcq05P`T2C+!p(r`y6|6UmU}No@Zv>c(O=JB2(E~C%&Wtft~@EYr0u;=G8i$#?Gfu8ecTWkGrBG*_g%3wH)HCKE1!Ggy|?(4ABVMfU1*^;bCBV8@U0! z7^7VHG5?3#GsgK(>QB9FRX!^`+OmTyk3mywu=FcRgDvF>v`0T8jEa{Cs-Ii58cf~@ z>y6gJm)T7cd|OMS&TR(~jp$l z9SDU_zn`4KxcUfOQJYvpsTuafC)F1F03*K0w| z+u5Rqxo;OcHSfFTIAk4H9Im#i(4MPg+P99HF)yr5#uC?ydVONj5zF3gVGwR_yL>%| zcCu9T7;D=cFtrUHiCfx4y*#~9&{^E<;7pU2tyMFSt*z&-%exbC8!fKb^EUskc_hL+ zF%OdAjd}Y}4y`%{l~6i61j<;g;(ZFIfEDC8%47h3fTZ>!Pyu3Oy_(Hv!L$Q@7$t3F z^+4N2%hdL>^25Tw@^eCq`7;j}r$fD~+xlKjr|n9-E9Z~d#+jwd@*P)AS8n$5;~Lt9 zeD`Xjd5(d60=A1=?za&e!Y!Zn+0570jS;b=T<<6R(;LheE3C&@@t$W`(+8F7rtMP~ zrjJ?b8;{*bY&(uM7wfGu0|OUOIaq$&ck1oDp)W(da`rHOl+*jV*6uGqNs*DL=*Tua zZ*{Y{;#hh=sF%+%Zt}6j!P%)Cf=ibq?)DB;q2Ez&pC$8q3QdB0aDR8Wgb3ToveE@y z!H36c5gHVfIJ?J&wQ2*AvrL&6g9*L{Z+liYqY>&SOXQa;C)lROd1}_{%s|3}5q|i(6C zA*zKpwjuOi$?;n#H>(|4b;k#S8q)gWuN9Vpiz!zIrI7Z~C&dWelnr#R{H4IZv#(kW zs^LtE4+ z8gAyJ?-{s?N7T{73M+)By`U|8uRG3znB7c@4qTp?^~f#5xw7(CZ_=gl*SOqOE2+U% z^kkZ~MeVr`gIdw8a@{=Q$Wy(=VvU^ohcamY?ej*hx@IvkI0?72KF+%ZSV}R$^ z()Lf2iKYeCr!KvP>cp!2p%garG1Cc6myNm+_sVsSh@INf=YxDwEE3$7i{pjqr?o2P zRQF^6=^$%du8;E7TU?ya(=d2`Ff#M1hUHE5P%D~U ztG|AAu?%i$IKx&(Z*QF$0%&;U;Kl13&4`Fcz*+k3AL}A@KtZ&nu-TrSosqdk5u$wd z12_HpP}9l|t3w=_gj}kb!4My6G2%V>rPTsbf%cFSI@35Wl^p|}&_{&sgk1IWowbw1 zz0U}pbg&XOqXgkA7vrbB=#fe{&yhR*My=VsU%#&6x@TkRyYi)_Bfg!#VNMH|er{T+ z$aiylAuN~h%cKRHA!I$EQ2}9YKl4Dt)Yd-urwy(XF|bq^bcNMQ>}d}$@zk}Yh)fyC zb*LLNoQGxjG*<#m>p;vz;{+SJw(V!eR1q`V8D4GT-GDXVmyC4UlBsjc*Y}__rX%Gv zVF)33+7t0<+u8BlrLsip~_-QHEeTjpa0f}M-wUU~tifPp@DynIsU`24**RQ&$ zU!hwrGJC=EmHK#j5v!xU`5qbRS3Zowha}=)yL7+Aad7=}M56Lqs`AY9+0t#sL>Zi` zddb0hpUUZ67MmM^@DVJ>WVDF{!@?`kyX(wiM!8+-&hoSf0B-XIb|zIJJt!#81L@8tEvgKSmolm^BbLfrl!$i z@LK*ley^b>Np^DC(uD)O($*7RQc}YF+=<#VI`#!s^q#LA*{hNl22PIX4s4UaCly0` z_c4NNTKWqlHhU%=LKb~nR~!gd4p!2Dq3JYQig2UrtZR(6%XyDVFJxGG{ObohHC*{d zmN2=otcCYtZp|E7TcMP(N0~PFHRkaW(j!RL3@E{K zdCM``rG!Yt3HNkK83SC1GMl^Cj?BRHN)B|=uZn#~kh$Ov+2{_oyW`E6osQ!*2z=!g z=S!wH!lq**Z{McxZm4fQ7w;2IZbwTw9ZMo{Sne?Spc;w$MNQE;xnXyF5I7Hd!EM^K z_>XtXg<~VR^WzdGrgy6avi_zXpImdi6VIUCF+1LuT$uQ7>MmM-|Gblwe7UqN(Pi0= zSK_je(w!>BOfm1S0Q&8HqDB8ZNAt-7Sv2?Vp0}QETm2Z)*&q4)L_bk^iKki_6X4VP z3`+Ed_@5lOZz19>!PFC?R5(W#+dar@zE>wvaoV4Z%>O9|0u!%m$h|w8{sM1F@GYg> z^7x$mECgAyLH9J+uO433^~vf)#Hi-y&&GA5?LO2E67pX`ZtUFDxw(bebr?rl9V9zW z(~T2w!KOru;Kx#aJd%EljvX9Yd^TJKxeOc5pWZ<<;A9ZQ1f8swtwoWq%>^-d;B#Jq z{ltb)qHF)9e==#5aATNIkLzcc(Ewf@P6tAg|LLC`F@}7I89myonJ2ULYCRS~9TLyIigUE)2mq?P*?kPSn&K z&1`8d0d0Kz;*#}4cOtVlC~ErjCUbLj+y};9O7*H8)^qXW#u@p9Phm;nN7iElDVGCE zcO_6ppn&S0sfGHZV{aS>tEIc!OavO~b#rQhoBGjGObQ{2@su9Ru4#YePG{%S`wuq# z6&ouUlhsLyUl0bcpvu)~xYaa<9MCy8Pu4m>CgyVknF4`7-{e!Fo@K2D+MaZf^p^Q` z>(;x$aZCG$FVpMWPj}RCev(BB3)Joq75Pz4c(@0n&z(Xa@FAU9vcYbnJM;T@V(F!) z3K{oW)i-oFc8PP^!>aMQKX8?;jANMNnUxeAE}!aX+>7fgX%uPRY;GM>HH{hU)e}dj zHj-d$ZXMB-{MetwyC9gVOp2C^B2s!+FXyhXptEZ(h?ky@=b1efJ)L@K102HJ-Y=kykFokAzbNi!OP?^Uj){?u zmTlf$zFsOjD{JT|Jnfpss;VzXLxzXG(s-C(SX4-e}ZPy zHGXRqKauJtnUpDuil=pVCE>oyB%>&!d;KvSSjkJ|I}pml%)a?RQP0^r;=`a zU^`KGB|oEf9G4nM4;4uaZu~ljocBU%mfo8V9_ks6htPkKByzhWNPE^6rIY(6ewV!A zQ7@CCmcriJB)9zg{PcV{_GCc&qkrHE`=a{f;epd4rPgNK{D^kD8AaAru6g+bw6fhj zj52ia`AJjVnh2;y&?FwSRLf77jbcCJCq$(U#w8RWOVsT-?r1F$`{J>3Y){@DI)e$O}g*b|EMO09Z=>q)+ zbcSt|8=8(Qsa=WcuW!b;PIw0RRQEa}V_dkH12~yCdwgh=Y7efPRD(;J*$RX;Iqbt4 z#m$4i(k1E54QJ%~n>)eru6kykbvnaQOJ+vLKo|i<*!$2FC8?d`Y zL#V*&OyN4&Lw;mY?b*{-KId|CH`@)N9w1`Je!67btnn^xdBfBRGn>P-Kff?PkBc{@ zF`6q|ONr-dJds$kcQ}7=6;Wud4`0 z&6w=PR~d@KzFUY;#NfU#p>b-0vQ^;h$lALEsjMyEr_3QHhBhXj?{dmUC8rJbhe9LX zp2cKGKr57(EXph+{$i_Xp@TfmeL(JM_MV%*GD_3@>Zi zGpwdMw;8_6n4}6X!RNSpz2AyfrKyr8uO~Be=z8wo<9S)d}w#uOeZPOl_c3tz)wrCL+X`%tRm6WQ$W7 zq@4=Quk)1;d_k6|7c8lIzH)k+Seorlxjcb3?0Yxb+Y~q)v^RE2GM$}p20}MXYqc7z z%=pc}#+%|n+)U28P!|!xpg`B1j&f<8S*m)&*P%BsLWrw#=2q8oPG31V^_pn#$t=8^ z;#(Q^`~9tY_CY<|&CrC(W3G|7;;Tw{tJmT5wV=^_V36A}@tR?o&MO_?k-nMTZ2c!V z-l}Pz%9vI}+FM(es-ky$$<3~HWjsVtn;PFcJ(|u|b01Hmur`$3D#X82eiwO{)qZw& zw!Yr)`<4b?Qd}%rL@w)1R_!2<>VzCt^L_{O3M{!ki%GKu-ix;=waRNSM~`+LTo!GA z=Oh`BliJ$n=TDNLdF=#TJnFcC!Ubn!f~nPz;fLT>d_AoNdcdV}qCV(W_v z?Sq}TW?~Kp6SG-T9ac54TQ`|9?1vETh3 z5?=vV2wHkrENp&Ztrps-{mH2!3~S%Sm!0XLzP${JTyFei#E%W!CX+VT7a~hN8T_iG z+^r4UUsCezdonpRv88ZYD%I393y$TL#?NDOZ1=MjS=$CLdp}$!#yQh#1EntuFr7+ zOL}b~y8fFLBOe#zu`DaTwxQk|;w^j( zV-!Dr`?%0l($gL4sXqSrkLCKLvSJLOzEwhzRZ= z@~WbBevVp;6{Wk=3Fap zt0jU1vjf(z5+d_;#`3{E4(jeNMPAjJnkp;i^D93nk$dG;>stst128%=yNeTJOpyR- z#^^%_?mdYQPnb+R{aF1}`qPa+o>s!^kWFag@u|kBFU^Dvp=)diC;Qc8qq5)m5c%=W z_dvwa_j&_=nld`pk*`98!`&rOxp14q)OVvc$Vs7Pw3&psqK+LibQ!UJqf6#V-23|X z{+5G-U%h_~MGQO6jalx-hvF8Txak*8$UOwncS33KeQB6HRkV9Wcbo5)#6n1YRD&=4 z4g3CN*J_Aa&o|WuFq5s40yx?4D>SbVND&bV@JxJlOw4{L)8$+2Fn^Tbw&EQ#ek`oC z3i`TM_ytst&9~@P9RW?F6$zQHOU9O&9VlJJ`vF+;Yu&l1;rG+J1ZNpgM!Qwa&|H9a z43VxbR8z;aZj>K`47HT$mYlpFh4VedHyZ7Gy$;iNF>%3PKkNq#8!e{AlOOD6Sg;y> zTzeBVr<-Z<#Sk?%#_4fgL-%xU-(-7*zTF<~Hn8dKe^~f2)9p0}vm!c)uA?6pzK{rZ z0Y-j}4J8$S%+E*K$diUOJr}T0;5%socH5aDfyTQyhgjL{amVR8mhH)@t;xQFYFzWW zqaTGO&5|V1Ta!~ETVb?9A-RE1(2BXa?_=Wb&S;4q{31Q;@7vCx*{dv%f-y(>>>fq(!gV`n9O{bo_P@>vmd`-mf^^0RN#LP0ZZ5|h z!!z}ZOpZl`M{!pE!l+8^dCaG>%uo5Hy4U_OEnS^YwA;!B)j1M+ENV0^8omtA@9` zchaBoK3v}%9}sl+l+iU)w5PHous1hn%%2$NnCEb5DbV?VXF*Y|F)C?V@zk9LxBe!F zmiIqI&aEscgW+IkW}@`EY=z5nal$P(@hHQ>`!5fDldF9DA^VIw&O)Xy#P@t4+BEq< zZA;BRzCZNRT4gK0KLi&>-ROG_%W-9z!hwd<-T!7y=P$sT`=P+W{5V3$?~22x)8R+~ z|6N(we3@jU@^X-0P9@~>a;u~ye7N@4NMn++72D(8R&JubYV?`BqOqK)MMa;(^gBzr zf%#-HwFOkT5q;BGf@z0TE)5|)NpoA?8x_5K%EU^C*#b zMhK9aJxb)WmF!JQr!`TzoPPbxloY*gL{SYO>6PZ4hv@vDGX`e>YtT!U4OQqj6(ne$ z`;kvcYBv)Hnr~jTyPx;Vq3+%GjvH>XAi z^U##ebul}EW)|vt6VxNjdDHrB<;kHFGDPSr=z4)*I(sDC)20&=HO$HA@LL6H820_D zCzpQHrw<>-$C#8T(9ej~0!ZL!fzXqRr57tbep39T!{6!0BPgLv`+BCZKretyPw=Oe z^+T?LCHzXhl;pR&gH7AQV8d>-H&uwQva1lS7=ikl0KgI&EY^}#=?gNu?HI3Z2t^nne_0**N5i0gU;}Yn&b@RMHxl+W*;VlH@WkQpF3PpWN#C|1 zqC`43UHKlsfoK4(96CxYrY}izyvXJqL-j#C9^|fN%FX$KeDOiRTl*P}3B{xGWH8_| z>ynzz<7z*xY$T%Pc}^Qb*8!g2&H?@f>0W(6+;xCh%UXaR0~$&q5Ve+!pgk^JhR6d6e4{Hma;%5Plg8WENTh4bslG+JQc13Di*-#o;o)NR($ z{@GrByr(0#hB0FSb72UIJN|bJ(xV)f)^+6jV`mx^>Q)@z{z+4C&SH1*jKYe~<%>rZp;Xn)&@$A;a#ClBzPJv#k9Xm*%M^fq1K@ z*+P;D3_53&@|V5u4bA@BrTP=f$Rm8k~~&rXO34Iz&H5TK_o*&o^uY|H@n zjhMKtz6A^RX^z|J#@4_`T7lP{uYoWQkD+e_-w(zDIeOIa%Kv}~fiLol*Bgo7nZmh1 zVQp<8G}r>&|LQ<4KVH@47e)mKC>l9BU1KU3z^D9t{-&j{*=LyQp>x7ca-bVJuBD^6 z%x^q@orIX_di!AOi1d#|Eo&N(?h?;NywFyT=0PViL-B8yN4~fm4siK5z~vDC-Q^O# z>e-C-3%(G~PEb08f5IG0si-3kACHUu>xD0Iwibu2vP(8W>*&|WfVa*jxu2@W8;6>m z9p}r(vaKKwUF#D@#{>pxG8Te-LoCRJfQG~xpq7ARoPSg5pU_x(c{)PsDGM!Ny0ltQ zF3h-4x0E7kUMaxaOD=;#Rf?w7D9v+|=6e+cEQ!?`OWPpV+NS8Iv-RgLz%6SpZee_J z3ku*CY``s@-hf+v$U+;G6Le|IQ19@Sqy$Qo>=J(weL=rXT*)*EmfKcvK|^x;uXV}~ zDq_J$W}bS|v27G}HT*dD$4?3%D{!i9oqF&qu9vh} z+XXg+;}v4DQvi4khN#MIZCP>gJfyI|VB~i<+3?a$_KpP;%8!Qt_fx?Ib(&Sk)Cqo= zTT9lUyu)+d5A800a8nTueC&!rPu}ikcdp1b6nYikdIrt33X_2I;~E%A51hF`MF_g*Ei`tzGpSP@%-7g)+>x1|FL-5*L1I3}C@kAChS zD^*ef%4Er(W=Bv>I^f{AZ0=b|O76UL@3A%6nz`uiR=iYDd5#JwRt>9MXmh&6ttV zvb&R}(Tc3{&c%Ps>_fY=&UlLNy(SMYLBxH}TFl$z`SARp8T{}RT=V9$p@hybj9A@f z*W+&`o4d^$J;v-enSYiK`n|ls|8jZH=d|ewmA-sQ_L{!0GSi>M&f+wRKHxSLZW=l2 zdpHCZPJBkLD$FNm6~`x)V*jzrF|NPIa$SGw;Ns#^;E$KU_t?ULdJP3&sN=(Z(BJAE zSHZi3$T$&_CotpE4giU*ENEJ|lJjEvTt-Q@UPE;W@ z`-~>iFY2Jn$y@yF(`p9FEQXyQ>h=P;7BXs$w2|@e!-v=imBa}$0~!WWX#{fRn(y|D zJFtR;hn64b4AUDi}i{q@c1hFI=+tf5W%@%RLq#nWcnQO5sAY*1JNv9TGNgXUr%qB&D!`iZi+lCmaa z-iV!ABDmnqj>B@}{N0qD=s05yo+Te$yyfyw=jk6RzTscufJysen=kC83#%)V%j?O0 zXQ0rWzC(LS!`nOk z_U zTROpFfce$q_1n|H=GSjGmEug1E}4hmjfHtQ9WNRRn$gz3)W#?^+#GZ&gNR_m_Xp)} z+wTFH`;ROEvg5eB#ROytpxWu=78k1(niR_y?kfaS`B!RY%Q`>;&$|^YJKt+XaNQ01 zc@Vm8o>oL~eRNoan!(|AyXlQ01xBbMGulSykQVjjP>ZXg7 zzw8yeZO8gAdjSb>ju22XfGoT@J=>Zrq7pVNOvsl^uARc;d^qX&C@ttYtx%AkMe_EH zfPj*EAeLIVl07o=0!Yz=_lh5)ov z)0r57%0Rg9^&G(Kt%oQ~*51U%W`Ys=30@rTTMIea#jt^vaKFOfj`T1SebNeHH0pBZ zzy-nDI@qdv+kWse=12uTmAcAEhwTdWFaQH$_QFYNr@Xv;M$5A~Gc)t~Tbnio6%{U! z6Op3P3#0FZk#WP8%^WUxC;JH}O6P*YMvM_}iT8!}4KcdIrk5%blLs`%2yY=Kf?v5dIvmF8 z@Jwnm_}^oEA7ncYri_InJ|5KIzlQj`b(_mmb7n00IXR&O9JM7SL(@(4q@=!$YD!9m zS0?TJ(fC8(LJ&btV`GrAKp+aKK;yT@kR_aK! zV65-TZ|TdM@HgomyQ{8&IRjHUFih@xQS=RA?3%cCcliFAY(u+ebCw;LF<@6#RpkS3 zIDz6LxbF%I3VK6EEPct?iU1hF4a6zmRTVGZbV$uv4?%Zfb^?fufHW7 zwmeKX1mIv@>B~fuQ@Ya(Ujtt@Tl2h1F}4${_`JMKvO)&dkMyk71$q4W#&Y{b`vc-g zT$o_yYPKrkHT502N{;z%QLv^;t=X1*Mn)^Mwh(mc;P%9W3BX8FhHoIJ+?>jc8VX08 zXCM?$+u+24zvtIqlX_pC`oDBbpg{1gP>f))AJ{blVKS=u^JeDa>ZU*#{Lg$MZ8Njr z9W_N6WnrqXdGZ(!%Sv6tjdq1*-zd+NGKlw(GMrlKk)(#C!squuPabAZ)3|*BeyD2* zzW!0a9nuHCbh&HgqEhL z6J|LYzkrMavRB$%>Dvun%g+c*zPaIk)lMJP0?v|%gsUJxU!tlJ->{&YH69eeJ+F$P zx()K(A~IMu^ICdh=L-3qd=&|gZGe)0t@~#bk9a5KoYuLRf!p%7Q&TfAI*+8qk>O})w3T#j$7>s--) zqvjPbj07P3yi+m)v5~14cp8g6wu-W6KBlv0LvcW69HyPHh<&QIVqw4PplaH%tA)^V z5<4;RVwj~V>{@(GKvRHrzqPfd4n?_D9VLIdeI%e`$+08Vvi&+pd(^AQ5i&&`Y|`Oe z2;5J~f0Vy|tS>yCT+-#X7THQcKS0}qx3vrc0wPWA%KnFPX@NX`^7HEMYj8zPH;?Ub zB$N2TG}xPJ@T(j=Ff~&^9Dnz;;cx+Tq7uC{TBEG}=kK-&nbeU2hu-ojzpln;Yi}T$ zh3N5TuxI@EQDBR2wjLwy2wLUiqT^edAm52TD<>uiWZzn;@{9WGBzK@t0pPp(i~jS! z_(A4;tH41A&nL>~+v#f%VQpL{($C1^Y@%@;Cd|$m<-s^1-3_(rC06{0I# z7Ip5>mc6ENC|Y;(gPW(XtxWye(D)e4_>OOIg)9P2o7NI#utDe^b;#X-0hr)ihOI?wNEYM5Qj zcEI+XiyzmU?m@%`T#P4C#E=B!?a*qcXNSY?1*%qh8P8BVWip>Ff!)N<`a%w~9bR%iihr{bR=Nepn z3wf8$tlS-I$U|HZ#h)qOzwC=Eja;~xtRu#=9m}$<>44}C>1(=QJbAm4R_md(jsj9n ztPeZ9fg7rp8;a>pdwlvmC)>R9t&NF7>_2Lzwjj03gQTc|h*s*;Ml=t5k;dWu0ce~w z7s-#$;2055Bhl{e8ip#bY^k|F)h{GVTjyUxW7R*F&r2(Qd1!vwd(fxuUZrTOjA73diKossj$yj5^YCc)n?5?{XI<7gz}UQZ1R`-WtEK zIfPrC+s6f@`_~`Ha_FkU6R~?~&;ezQXLM%dC{gWk@yF6~+TOv{w&_E@TPDbcfyv}_ zyaO3yrlff}X`yC6z-AH5^_$}W)?q0$9)2nyhd|cvJ6u$`W~3*2|A`xET^7@|cBz{u zu32@cyX6(i(J9{T*G~H2F?~e)cn4b3=~VtnMhQO&hYi}Fa!>jvK701Pt*L|sIuhv* z-16TsXbcc*QkCyLVJ7K3uupv(r6f~z$zVPpLVp$7Lj4M>-!l@*Y7%w@ODUk*)Vwu$ ze>e4*?*<;%U%WH@d{7FeR#r#8tXbjaNmc{yF;ojaypT+IdpnL;5AJ|`97jK^fynZS z9ni;MtfpS7AukUTWa8GJVn`$HSGMfM;R&gy=*4f!Wn;qi;QndxH5m9gF&FOiPtw@E36N6g%WyeCH$h6;eAJ={rLmf2`R#UGC~DQQ4NI{PNHw;-D^ZrFnJP)G7wOfJ zDilOaRGl*?^=^#j^VOrHqrJT%Obac}oA2rw3bZAT0Vbhs^jjX0h zVs@4KB#zs3HrPK!hkd!54r-z-F$m^GF960nQb4mhK@*7t%)I7~+{P2XKF}IuJvnuL z^>V=JwFr-6Ik}NuGiKx!=qQPwU_X5%O4=;o%O2x+3V!`3FBGe@dRAh-LIZr1i^smn zaMj#W!z_%7p#y8=aI*`JTKm=q{|23@@84MZFaaIh8VX8XG539Yq%@{dM@t5W zE8028)j0-rrRU{1J?c^wIclw}hZs6+>-y3Dhk@f$-&t2&lv;aFASxTcQAKRyaMK5) zLs0{XdrtIYNoRVGB0h_>PD14_taV|$N=Lwf)t>l}fIWUa0Iey!f|5DXh5+9Awf(sg zcp^Y{YVX;wZt1Zp@p&n1$^1acqAB_EJ{H=d#bO~Y=@){&K~{}PVy+QoUZ#pOpX|>S zzAry>fNN1Qyc|PA6uk<(5D=W!ioAT0W^@7)Zy!)H?63_$C`>6#D@>fnGuM~nY)rPp zw%j`%gXf$KBPn45>s0mbevgR-=v-v;cz7-~s!yn~5_{kED=VBOk3d7mjN`%8>*CZ` zB=5Tp>`shDhdV#G)zYg5_)Qws@KI6Liq@Yp`k|RTOw;$BeP+e0Gp4QMC9wO-U=E zcP3$GDC{9Jy?QKERdLU~Dc3e3rlshc@jjaZy;U5)D*w?GfkF2AJ23@(g%zJNj`r}4 zV3-2oF6V~@NJc~dSDpD0ISM7y>Jc5;gj$A`r^6&ABGkXCb?N2ge&jr)LUAu(g-y!O z>|kg}{+zFuijUiznr3-k5?ATF{Nh|!N3KadTsnanUs#3k%d9~)MnOZRw2qdFc*mx9 zHw4YuIZ9)pMx1$k$bE7dmi&4p_s+e^y467^q&y2#qn}&D z(0IfQe+q<+^SWa5{+Pf@I}6@K>%I-U%VvpGmrJKD#3WJK3ZX&SKP`pjLtM-;YgP1 zoLALFqj8_b2wSU8lMtceA8h0Vvn~NHFAAsTw<4~DU$}Y?hUrh7i!X#>@vFo4v0@^8 z{aY$zw4$yEHQKxJU}Q?lfO#z1%>wx@!!P|P@4Gws7iL$+mB?}oN;s_!a}-@E$f!OY zZH{FH`ykjy&1bL@u`$~B^nDvOZO7DMo?IA*1sc8Gl@`yLpnO87>w^fMr`hduk{G!E zaP}&VSAu#dX3p=D<`Vu7VPC;eXOnapB)Gd10tAN;+%0&}1P|`+?!h&yNijY?)rU!Hfy`!EgNf2H|uuLLE6Dr93O5uJ)5pp#5)uzo(L(1_@d!npxR=8_q5O z_in~kYp_&4xiGwZ^NN?Pl#~6U>FsfI;Q#~zEiK!k6HIJ+`tjBlCK_7Rz3Rll8O4Tx zw#T`ssAxdM?yT2r2Dc+8he|^?`Um-kAEd7_i^$DB>VWOi2ZZv%@n{~)m_tKSQ;Mg8 zLO6M8wGo>#M|l(ppdo zsaKOx8v2ZZMfJ3_c2Gk$!JO{F*JSrOu;Yzxa}qFNnfS z5dS|r@ZMfNW6ND6qc}@s#MMZ_}hH`wiI3lgL7M=zREji2b-aV1T zgS5i7V8O7vY4_EA^TLXo#Zl8w?sVbD{oURA&yROXN=lZe80_HFHSdWWQTC$*--XNi zy7VYmV_*maw+uXU>gM11rO>7Hu8{|ZvRMI7Ii2D`JXMDN$+rZboU_O-SgQax06$^cG)+t@Xxvf5z?Q*3aiV(;M(qz4;t0 ziAzU=yJg3uy!`3j-uhHw{&;c~jIoI}-hl#9^hdLCC+8dFlativh21@}cnv0yK0E9w zcdAGT;H%Y2$fgxr>WSml!!KS=L_m$1PwF{3J|-q2vRJD4~@2#wH-a}DBGD+gRIj>a(jM6BCo0VE}uxm?Ky!QKM3$(0JXw-#J89Ebfrb*SCiEW z_tN`lWHH;GQC~?q=Dc`#4Ab7gI4qIlFr0Z2VeT^qJ7B~d1O&wSxzT$rkK41J5X_sS zg())FAH;Y+yM^ep1(1m~3K0$tyX(at&j+w6E5XucG(;-kk3lyHy2I+--Ne^r zAkyv6Hx6`kbofHS;^5#kSfDS*XJnl0>?A}*jVp*lOyP;f$2)R|fSwb7@eEpPFBfFz z6zz!V8gAUB?x&J#daf2gtK!6|j#n%6yhaK%5Gm-dkpc-s3cHcMtdtZ+jWU_@HrNl$ zzT1%(k2g689b^LdAPxd>)lt||wRN;x+cWV00hMfTB$Xqz(5Sb+ACcqo;=;d$`+!=9 zpqqu&p?Vv?!GD@l@}bLQSbGcqZp;d?1^&?a^1B$ajjK&}8drF7HBru+^rb9dPa+^I zfWQy}0s~<@`SIu-(Ig11!gR}ix2Lv)?fxD2foNO*@R<<7>@9R)q1{=m~x zK`UnpDF>dlS678R9wW*Ge8|%t=&C?WRCL0LnmVkwbtIVnl`R{ z0Xw(pJEt=gy*WT&D;{cio;6PjJIvH1uyu6IhgRi&-Mfu@+NdSsP>hw)`q4keLGSG! zgyYLYNig|NGh9amXy{1P(H}(15=etg(`Aq~DPw|&{+hTXUZ2G9 zP>Z~>(cCILkG#i2&U3*B^Ouc8aZ)u98Re&o&DCR5LL*D>`Qu#e*3=ZtgYX zZjQBvLe^0JPzV=B^UKeAXP4;%75Dk6`{su$;@S93Bs%egB4lcSmLO=0?!@ z`ejlT-bOaw?MJWufTVO)c9M$r-cyp^6jrSIEyE5ZB>nL4U{&E-CZeFtC0rk&+zL3t zYs<_+W&X#r!53F{o3lSjoj)U9M#eI7pjF-e^7rGbzk>mPHv#^JeXsG5Q&aTG$*oK> zVxeX#oMEJ^8YhsM6&bm! z#`?zpm{aIMxTC1lyr^_$cAB-K`982Y1yuQXNh0NwFa8R&&#yqM0OZr-E6`%q)H4b5 z2}3nQtJ1Orw8%2@nzs7&M~;h91*Cp#xxd;!z9SfxL# zMhSE3T#W$Z(mE*nwK*BT6gWR2)Nyi5Pq`Ft*E>(pu@`}Uy9BqhKamSdWe-_C1_U+$ zkwB8o3$#zHPB1jrYyQQoR70MQ!;&kr*B+9ta8}cUj>T>CNbmW>e)GE+^BSFWI48@C zcTSOJ-s_ws^&KlVGW}3gMA_b1bbr&O{94};X4Gr}SN_`~AM0LS89PVOSauei!}j}Q zi(4z{ALiXC7iqgxvL67u!K9K!f`e176`R+rv80->R?P(XYF_;H{CACNZ?6D%nLHM? zJOjkP!Jy|E+- ziQh}ksGtq@okajZs@0?m3VdY92v z(|nr?tTBq4e)zArSQ0T}>0Bm8)H0!@b#IruSn@|wtP^Tqol26D*xLPfzX6*ItqA!w z;n~Y{iNJolo9+_hqUUEF6)Yn?Juqtd_jtBQIqDaZ#rVIR6%ROTVG8u_pWu$>zG@YE z(|sf3;0pb6P5EsmLIY*Af4t(h_eH$XHh2l8{pQSuqxH^wdFkz#SKvY|IwyQ3tn?CS z-T2I6*Z;qJ%J`uxi{lT`!1+sZ`>($5_d)pw9`!bfx6wfD6aKWnzmJcG)-hx4m};s5 zbV||6%6j&ehQJK{ze{oT?y;DP?`Ek4Ptc_^fABY@P;*m>AwhKrGplS?Qhd{2^cKKG zr1w~Bg0AQl4xdjtJ_b5F01dXipC+8zYr9PS&A1oa!2-(-d*Jv}*5^jLZ^jq3NCOKX zG&Hje^Yb}%FGcqDUrkpYrtUw@X?8+GL%p8vfoG$zad8`+Ge3>50x0vz9T#XcN9yDG zfC8SEhXgPQ9aMOQO$~~qzWLPT*mO^@Ts&pab0ejZWBJZ0!i`))ORsL|Z?^{!1YPM%w?yWFg%pf-%??nUY4F z&I=?&4U0Q>B8h)cPEo1QYH`UB8=VKe1=2)In>8KNh;#m95QLG+TrrpT6&;Q>JU{XZ zvH{HI3{&+X97d!51S@aLBiK32fwR7`>VnIo8j=Si8s}$^lFN?B(b(twJ?07h>dv;A zlpFc|I7VC?+m==vyx!msgne zJ_s|_xgEt*(4RzbVox7=X7o2R5#F3V4kfw5%}oykcl;m|STPWUui~Yy&e9jLN`$p* z;f>1N?o58PKnD7uzE7~*$vtVYvE92Af|z){3bL-Qwo38&R5AbTM&hvaf5u>J6oEyR zU6e0U4EjQYsnuhV0CmV+h{PTxq%jW*Vq3nyg9XkQF`FwW$DhJ03O; z{BAAQxhJ=n*`e94BTyqV!tg!Omg3&v=976q@SlVke@7pc^)cUgf!P@rdqgg(J)6+3 zX2-#;qaN$?BE3B-gib>I9|BU=NSpd?5WraDUlVj~=r6i2tq;)ZmIkK3)uZFEIh#GK z!Ehgl8A`m}kkca*?eOsM?_CRpq1@OFbxZ_NnLgGZHaf-62FQO^l0Jfk=wy8~NK{ui zw;gXhhTRa?00YDy(a}S#w>GvypTeP4*XDySNq!oxt;1v~=nuW4Ukf5tihFYzfB{Gr zf1F%_ng(^JMw0e>Z1$bzLstS(k~_uV-)$M5dmSKF+NkDVs1dja27U_kPvQtfz@9jR z>)D4aia~3DYtN)rdk_vNM%7Wki&6Tx{CR<&cb=5z*hJfefWY5yKg2_e+_)DbD%0p*d3A(yT8Oh%07~E2}x!m zL|;`+o=5wv^f^vr1kc2oDH0=Z~oAB>=sZV1L4&VQ!$65$HmxDbIeU*#=Asd z`O|7(vwbjI<-1J5JjF&W4^Ag3Egnze9iDb{eoFL4xbMgAxvwCzwC(#yj|z}- z^Q;Bj<1R8ls50aOqWGo;(5bS>QPsU_bJmq@b*E0L2CcBP%V|{hEtkFmGR&l+^bPka zUYBY7J2&D2SN#DrB>YG+p;rMZmljEXIOOMAYO?8m$TTPlWrk9zRolaUaHS^U7vpcZ z-)J?q=j1Y)iQ!F{XE*4aO!L`DUtfITKfv1{TVcPupl6j4K;ytb2jQIt=9D~xQBE@6 za4cBdx2V=Z;?ES(^`+sWIp7(W(m?DWzDfbFF#}-cMJ+F9Y-YJoGx+T(SCx!J+}}DU zGuQ+u2OCvV#{+&Js0vOv0eLD=HVlwr*O#X$z-#2HfB1Hy&~{m12+g!5J{#&99$l%% zu8Ix~&PQi6$~TsAxVLjn85bP5S^5%096}dV_Jm0**kHbeop>;8-Sk7IkywJ7`#m2W z`UC0c4yIx%N_qMAHuj;)4W^H{r#IDPRb*2k{F#njQ?&UWj8kiVl6r;?PV>ElcR3zQ zB8`A%8_n09G6-Mw+nQ4yJAT@6XKZ)v*75!;pS2LsFR?1JtXPe}y zs~6W_#_V4FgzX3>kL^{}Lyt>K)&e>ZC)gwcu@yUL7z&0GpzPSDF?PNOpUfURuQabXui0D6p)P12 z?G23+P=by$zK+97|A%=K6-25u|FNm{r&%*|n6mv3{+2dNzktgo`&mV*Q(#fonSjT- zkdVX~^(h5NCmp@;YW;o~SXk%fll&jL;nfoporQ85Ztv@vD1^~w6;Hb+37+=Y6Px7c z35c1be5}7|jRwof3B+c2S={Z#6SBjmJ6;)@L||xx%jx&98V8OQMXaa<04)RYRrZmZ z#z%Pt)Vdx6D8xYK*Af^SO3xR)h>J0Szl57|k*a2kK13z+ zVlf$%A?MAhe|KRZt{pf_eQv1T^p?13r8;Wq_t+of{x0K(98In&#UwhJzfUK6=5w(D zp}(7UCd2Pw^Jihj6Ti{J$idF(t;y3yblVhW_bQKz#o~G1rHRQd73+eC@FIc!?r3@} zm0VOd0!|K1|`1^w}F^Qv@wA~|oTlSsPAy{;P?hu!cq{eYGxr^2>yL;2Jr;Ir! zCdTdh01)#=kBN{1nGt{oI2^faoqZQ^6=N{sYK~Bc7Qu|l{57ALnB^WNC_zN^>6)3& z4jO+R&%@f82AY)78jr3;haM9jJLax9Ua5Z40`+#y$j+#<%pBZOwU;SF6TQJT| z*H`*>l3?il#=E<_1LQvwJ-zpt#K2;CySs2SGIXVn5%)jtA#=gnKYE|Md_CPXwoiQ0 zP3G3N9B=$oj-eg7_@SdOE$I{o& z#1qNz?O>xjf3cTdiR7yd@>l&7s8uN^Cr8Tf!I>G$i{CfEz|^j#1h4@B=HmkI16%!h z&sCn|GdM0SN2NTt%u?ToXed<as!(t)Ep8?zsReR<2 z9Y8DDK$K+v9}J~|&*UU~IW7w;Hi*rHVAH6-xJId*!@RTtN0Toq10yK~BS|AoGbz#L zZnIHE%8Cu|vf_JF79&IP)M~3z-OJ{7shEr>PmUfcye=U*FYof&T4uLo#^C)og+gIo z2U+>0BmKBrJBpqopjpMk`(-$U)0R;wz|!C^?5O;G*doqF44_h3Nq^NzKyg3%?K`3v z6EQBC#0?+oUymhZYcjssA2E;RM_S3oFIXrvZ82=-)#303u`8bU=sSeKMkn)l9`NOR zgtKQG?*8$eW**+Zk%Y85rqNSwZ-a~Ivfwh9kz;Gb;ua-mqp4)RZg5-%%cX|tT~jGD zFr2h^gF-Hl83VZGE^nrw0aU99%tj_^BYb(izt)LW%PT+pSG2x@(s4vn0W_7!Spy+V z``a=8J!WXn1d-gnNawS2v!k~0D?Dt_c~`Am&RiHCFVd-pKXHGx36_>8GLx5ooS(}f z<>Lafegaw3azhbuEKo^bPu;wkfU_W&VUoI!O*SHx$Q+YacoAaRHA9i3I99X82L@rG zfbc<}PeDa>b1*~4zz~tig@>fyii_WL6-4?MlqmuVyjNC|t01uTKvwnAqXLV54O0PF zoLI~VWDs86TQg*-NoH%7z_%hQ0Ox}4Co686pMX+fw&iCR&uw^9JapElo)#h4fyev& zDS?XIo|s=fVnlM5bQbGB;yeMRWK^Zn%fV4gSZlMjr)sXdPDwqtlX~d_ZlU_DN74`7 zNF@_gc{h?wx2o455}ld3*5-Z#%z%~U1{OZe!3sAtiCOGP#`fC%hbF@U!SF9m9vheM z%i<*r&5goOvO}8T#zRJn86hYS*fb$w7v0zqJ$k{yyxEu}v(TEjZCT;q?_Jo&z!3+( z_6c~CQsI2+(J^|QWr_^M(^(vGd5Qk0sM_c2p*}CTRjZb5Y32vyh`ehN&Kjt-rH9kY z0{5D@*6{2cf};6~P0h{x;CcPDFBjitU;BsuvOeJGYAKX_ZN6`_=~Udi(lzoqVB+=7tVr_D4hA`J?(;VDnz} z4MFBhAB?eJ0G5Dkr#5n3ul4Ng3*0oBVg;zG6mpiBB0|^J=UxS}i!m~4G*^7Q8}z=2 z?qM#xkC3+UMPK@Mi1AuTa2qh*(5ODzQjVn6mQ{B^^*&d=RhSQuW*>wk?& z7FhO;dz#N>Jv^B@bB%Co$nDo>YfzSKV0V49H#n8Oo5?QVwfTC4O4Oh+MgODj1e&B4 z*oiRasACMRzA*#F{x87~&rM*;#ih z*5ydX{0|*oH9D-Zo*kO-h^OL`)IVYNdj2n&&}I_E ziEg8}+mYU!qD-!oO69tfIgm(23vk9jW+WKD!a`#~!8a=2&J)045R8$2@c+YYT(Cx2 z<-Sq4bJN+fi0Rq3VX}VBivKik2aQd6 zImmpODe}sh8MRt}naz~gZuQyTCP(AMT^Hxb27Ik!3ZL0USsqYP3Iz>@JS2?(S@Y%w zsFBWiShdhmnZz)_J(yXpDrHZw*>iSTS<;Z2aS4G#AHOHemzT3z!fG|O4K=kwTC>uT zr?y|RVT7WtiHEENP&_f27rQkY+-*YhDq>=Rjg8J%Ctnv9*!1LbWHqDhYtI}4l&dUw z#&Bb{JJL)I{i{KbK}X9^UFfy;dSO<|-Q!->-08}&mlZZUrC@4PseTYfL%B_A{4y~A zMk@cHC|A%_sRTn>A5$L-2L~`Msaq}m!^dz1RW-HT+S^VdN-c834d`P;&wARWxoaB< zkAx!9Vb@`!>?Bv8OP2SIJZPa!-DCnhXn-@{BaLHaW;EE}yi|POZ!j#kPB|z5IiKE$ z@v-yXZ)2B|Xg6N@kun)g?=9h^U~i{vRl7IFYcc70?mfsp^J2MKYMM!kmRBfKlQ|;i zbM-Pcjb3Hqct3j#okAmD@5)lX?Kw?OQdq@PZi(iH-4UWImrcKU%IdJrP_Hj>KTF{F zna&_6^3ad&08<{0oO0iFbh`F*pPEss%v(!tFu1VE9qG~gJ4l>f=@)JM;K~XgD{CE; zw|Qk5ZT#3|5>Wkm@J4F6&CSgX;f6`@`py7d(%~X6pX$OjA`O1mRNLa`&@ek5vdqwc z`~Pgez;*{W!zM~Ev~gjEeCgOuo}4;5=GTWSmP=Tad7N5}d>SR=y9!Q>6zBb#rZ!gA zy*jbn?3rfI#B;FBPY4p3+LNI=Y_*`(`;7~=`Y6dcBkiFxEzXxY`@W}(kS9bOHgRbE zySuT5qrEo$T3}Dy?Q}-#N@kR`c|YDQU)Ld6)+=k2Pc2;qM5GJRY3iOwT$3@Q$Il_w zVcY)3ZI9%_LbZILqL<2xua`>6h0=zHMZ(i5?!x}(%?e_>chu!l+qJAD-9#9Adg05< z-}#LlQ#wA1S-IX_m(T)ye9rvO7f!i{&Dx(0s9w%(sY`v{SNv`g+p}SZNh4iNqecn< zo8c57w`+mQPU0cDsD%Q0+ld$`LN@j5*`&PNn4vl3z8b~rQ9d5_gtpsXFH~FIUzzqJ z7Vqza+a^*OGbLw|PI7Wu`I*VwRMbo@28)@TE1fmkS1q^P8gmQfR!Ta`FY>;MugqH8 zX$aIG7DY8>zn!yQhFb;QqteZV%WyevN?EGuDw}xCs-zk@ouW@rL{@{eh|%$DDY*Z% z&5K~vy|Tc&NvYh~H0kB@Mn}SD_mz7H=7)rx5=lBl^_iVGL(gXOm)+EcE6tZ{I(H{I zIfkMg-~o|%R%uueMve1KHB-}IiQ*l71bI!3tQrYMPEVr*_3^7bRcNa1?-p_Ibg|ik zeHpXc+Gu|}s&e|08Bf%2fivpJ9)_WB)O`n#d}6rXz~W7K=$=I7s^oDSK}}wDnpD)b6m$wzT$Ga;CuZ zZ;C`;reBYj+KkU$A_WXr$)~&9FY!Z{mA`mjT89v=+duw^MHRT)3%KcjdGzb_cJ=P* zh$eOSK6~y60aXs)o^kwiYd`z(a|}1OzjER6;Pa^pKk>`-RWe_D($3ap@&5VAsQnlp zl_<(^qH^Y!)IB!qK0YWuO)aly(1!JO+Fkt^{TjG*2EQ)l;u%+)zg}eTbEAm~ z>TWq0mC-deDd1jkbr@!jz4@WFSq)gcshzE6=xK>Fa)CbW&;p0DZ#eMZ0f=GGoOSN8 zOA$jOKADBL;fw2C?0KcTt82uW+w~=lHz`NOm<MR*Y&7X&vq%!E;J%gJGju4{icyY#|Ki{)qxZwZlQ+Qz+r}kqVfdjyjjMQfVECg( zqMU$-(Vrv;EfE+L(gX0sw05LNw_#Oc*j$l&(6zn$<#A^LY#ofZw|8yf0wB(zssk$_ z-miZ@e;8}4^>Ti3yZ)5eDqu^<=5QuISyHY{&f7-y?6}b?bQ+Fxa)!ropZ|NxWpXeh z(`tiq`$Pzp;Lr7i^jK5-c^{$3JnGRx?e5dfnstrsKopr~vje&o$RaAtH?QJQ^_D&Y zF-b%??iL5$=wY*MU?5-MQRg43ui7FmD)CaX&AHu>%p5*y^Jg2PS~bqO1$wFQWaQn~ zg7pr|K1jsN>D}u!SUVj*F^y_UU_Q_v_2davW%YV0kB9q7Y4i3LG{=o2B`AlN{?+6T z4qMHK%$r5;DfUy(MgP1*x~mr|nI+sT$yzE3UkVCA;S~RveE)n3T^S$);dqRgVV36S z=kY3&dJ}Nf8kkpc&)&mZyR32iZlGVPF>tL5-+2`O1gERvXHmC|e{y3l@G<7(dpg&R z$W<>uoOh%ZmdUHUzjI)C?6VJeG+M6Za+Dxn1Q$Qe7~?}Qavw#y%{4jC>sf-V+E`k<2<-5WdoOOflad!BedSkxus_xywtZ$a#2 zUm_+NV}zEu+jK%Hn^H6lf%m=M6u#DRwC@%BdCOT(Posjxh|@!R1?A_s=92fBRb?hZ z{fe1^g(gd)O|djz!${dnexh$Cq}_e5Jj$49F6==GM#RWyCvNSrz~ZO7nM42CiVbzT zR^jaaH1ak`)D(Dp+cD15??TH?C(k4QFv=l=KQWG#;xM~tkyg#F;$1|FAeR)(uRnDA zzsEnq89#FuBVD%CUGME*?M_T0N!?SHoAD*c=*Pp6J~iGh1Z>^AT;Zq6Jc$!F=Q zAEC$iLrQI0h}i4*Bhp(p%|g!e$v~PRbpr(Cw|pz`eh~Z@X-SL3`yZpD3?|tzHJTNC2OqiJ^srIm{};PFT(TY;bOgqB7y=j2@zC&E=DPO$y8h(f?vt#qib zF!Bwd4Vu5sE@;}hcAq%HxbrEBk1UX+LQ;g4Hsr8q5O@e(Pk5qds{;f{Gy*( zo+6H`5#hyYrMTnYa?Qt_1BHAq*fGR zRoP}hg?C?F^HzAjR%z97px8%NHKS7N+DaNqrQB@awH)T53;tuHv@~FC2W@<4W>-1{ zwdL``fZ^ItMJk2t+@fIL*7iXWt>#4igBP=FFwi7(Lte8HwAf&XY+Tt)*eP)PnD!KiQZ1&+p==}HPN@Ol9b2X-Q!UOwS}c+ zVOGffOhy^M5)vxvfBIPfA78L{^+{8F9K@vx3ynEA=<|S|=Mq2qfq4;7e7m$dp2Lg3 z=T>(eeeRv!c0|>F>m3{ZC4(2Asw2`bf}O?h7Jb9SnQY#u#cyjNAd5y@RS*J=ZvlIT zOjOi(NV?*hFr1@!nwCx@WegYlPMc|PPl>kgzO*QCoP$Fp4jGxf&UtQt;IKYURxVDd zjst1Xta-c1P?TS^KzZuj(bpfoc;7zUhw$I4U-aHA@RE!zH_7R871dPMv@nz!PajjC zVDoHuP~Q#;Vn~^m6|rU&?J0`PIF@plCw*MLQZjLTt7mJNONDHfb1W#KCof~$i)LuS0TrAa&R5mj|wj;xW|vR9W7Nh-TD4oGrhYA zgpga1{G-E{)bvBq>;?%5q#=m+LwD2N)l4L2&yOaOAnore2Eu2OxgNIWoNo8iGC@pX zB7;`p9#lL&>$KP-`ONmwXzW_=eHB3Ssp-U;YNnRrth>R|Hew(+je3T2RRhC6$(w$u zO!Q~2TiF79{+K%b-D0W9LXW{VbuDNXwJV+HN5bQAOiA|Z(MUA+L+XdF@$rosmYV9~ zKM|0&=qUsX1sEmSPbp#hKsC@0gE8*s@evsmN}rDtqJDe{sfMH7b3icdeDuGf6iUv{ z`836+^~P;zwlK`EL|qI?mrVOllVRYgx%__Jw`c6~6-q?|w;%+M5o4r|^$Rl;{v35yGL=o0E^h7ntN)9Pw5}Tb_n{26zVi zsI<7s^L_WHP{gkR=esPRqDI&EU#zSRH#d{>?gR~WYL)Z(7f_LNxkwt>$=k2Nm43na zd?xw3$^)IW{NrAI_l2l}3GkR!r3RP8@~@QoPbx%qZ4=bmf28m0Y6JOb5{of!yDtLv zUFw^kM{~R>u)>;Kt{T_gqRVl^u`@<2xP(B9-EG?Q3kcFTG>{YS25Z`gfbftlS!8|E z-vIC$81ZT>mriOrRHX5)4`8gl6!muoG$iZPuRUHrk}z>T#7XQO{{VwkM1+BFG>Cb; z?_1kDM2>=^Esy@PFu2mSNm%#PQ9JuovE$m8|w}W(_Zea&O&$PC^2x|k$g;39S zP7jc$Jw@MKZ6z0n`sKzh*SJUi{9c^h<#2O#sgquG6k~ZW%$975i!wdNhwq5M2iVM- z*hhtkh#&fodM^4!X~yPHIDCqI4`r2yFADuN%b~@;8(rq1Fg+n;9N4|IgJmmUc!?WA zA*C-QQ7nc1T~RpYq}04}G$;d{U2fMi!0yxs>H-P(sIa?FszP6z8HpNI$>Yg?N7G;$ zKnK(Q8T=q=ub4b8M-gsiVnsGdD5jQAR)>78@>W>XP{ujp$Sj2SwmIo2krjShW%AI~ zL$|3?V$YpCmdVP(Vq$RnctTjWXneQwgB-XNk|lIVYUV)5Zz4QqbHl9!JqH1rZ~xu+ zcLEk%c-<{Z44Y#_e_*;Ich&S*S#2^tI|+KE)2@%D6AEWWI#kU?fOLoQ0!qW6)4WN% zrlm4nDUbTT;c>ZgD&4Wk)QYD-zq%m@kR9X}t)C%tJto*cek`gb_-&C!?gY$Pa?mNx zR5NB_vEY4AbUX^NbVOvs%L7ZVt@@+9hS(zhxN3s7Ws%BAC3 zob%}R+XPuwZBww;3Fg5*3OdWa$$@tAGWXd3h80Kq!9^aRIW_-pTTen;#Yo!Ud%gSw zqZ)^kv|mfCBuFs@X5_`jy)qr>>H3wJjq#32SkBoKbk(^0!`c^Mc@v z+}~vT*pqe=SO>Gm!x6`c3~vebq0}kQ_+#}B1h5(&8_<2Dhumkc${H8o=e^? zLxs9gnXvnLM9(aHN}QBmoj|?hM4@Gon|xjcTw~^u zaTI^u2wo}cTUennB|3_abz=8~ROUjY*G|%9G~`+xlF@`rTZKGLfBP0f)6iy}-UADc z8#~X^*XIj0UIO`^p!tt$*mu+r)0XdeVNP#_ZIOr`iAXZv_9J9nV3l3{$odgNisk1~ z&B{Lew8&=8lfxzc9dZbFuR~FwcGSpd-sLmrVmegA0@u2W6N)OR3RPPu*M;G>>Y$DWr^iPN?HaG)*BgZoAdUw7T$Sj*kc!Fni_JthR*y zT1+rwnWz!^iatvFcIsGkGbuEZ5QsS!gipBKEb{H3i$(nYwgyfZ;e>F4d~Fzjbn=ae z?nX|v<>i62>Ipoy`3m}o&GNZ~Oi!t22_2S{)5P*zx;^eN;n0q}8i>l#iz`;8L(%eLPq;?Cw)X&|awGqgC)@3gTGJ;N2aPo1gu}`X;sW{hFm@$gf}E)Oxf98pZfzqlI664V zH>YL7W|w)9pArD>cc*sQF>Iv%AWYj@k0pV$EkD~%H>WsFrt{@JNLm?+3Vzv z46mCwqgaF!G0ul?Eil`VvG+mU{0S>bqRp;EtKYf&CHLo10&7@D>+!uF#e1W7d!@E& zj<|AO_8ERg=Lu#WZZPkV#f1#;FM zV;neu7G*0-g8`Y!X9ujR2frYILi^!AVpL5S8NGrtyBG?tMc?@m-Y+!5EnoMGw<+&7 zOOM(Xyr!PY$~{DO{guzl3eIJr9tO3PncWo=;~6j7XyFbOeD_uSoo7M8&mVZ~Boz-D zu6__^IZMFH)xCNozq-1=EU_l7W4oXjja@FFzp!hXV}nIN;)He8mBQ|AD?e1hB(Qnj z>Tsn6oh(;i$lC$pKOQb--1KP(wS`@nm0dA{63-CqcY=8?o3Op_{*f*4gSbIDCt+0< zjJ*8FBpIkYIYKn`5^4+ z5k;|5*2Y~u6rqf4){HJ_B4k~Xl3&;u^hGWugH$nwma_O;G;NpQF3DA_e=$DCm7tkW zDbxzzfCfJ_(mG`_Y(Z9J)RIyK>xcLEN$TJ{Q^9%Wt5^?Zx-(fOByHyx=kAs zU}(7SMPVOBZ%NAXIA`;Cr0ppQ4>8lECgO+D5EgrSiiEU8omLT1r-ml+)emSq?4MnX zc~jVVL|nzuc{T8@+)$j8VtNA_k73?~h2^#E5RwNWvTwOKA$<>yI0wd`i;G-gX4?w~ zg;66Y!+*DoL{}7P_*0j}(wBwnXMp`lh0qY_<$$L`HzgIxirbU$Td3!ajj~>bu@t_E zEjoGixF;LRsIOpeMSMk^;%=@8{)*dckcZ*vOmjZ$1{A110K+ESXrx=T-c;y}jFs*C6q>!{!gnvU|*!ae$7LWx)K;Io;-nURl32Uxh`Ra3)C1 zfsh5_`Dzw5hsS08a0{1 z+ocmfk}*x2N;x8FD6CyQ-YBFv2aGO}$DEm-5mzjik-uK%uPsVOm8?<-l?JXu;ZAyIRsMzvfeO zO-+$@Il&<=F*k#QQ{6Nwy7R-TtfOOnVc{-ty9UN!BswSmm5WNs0MDRsQ^epNnf!*y4Zn-q_oHAkDG@KynwTm@2ko9^ zz}}%?(zDK7GE^)1nJopi_1ozcOdalu7M%xin?26B5}hI_FfS~BVz#OyD!h%RF!O20 zR@Bpcouo%(Ea~tk`^^sf{*lpca)bPiP*b#d zxZcpzvG4^jz5lhguXk}Z#&cS6l>kHymb^NWFQKk0RvsJk@xwt^eykU>p1wp@U!q7HO>@0>?Q>imj&K|>v{ zegzx2>$-QWL2*lS(?I2)D9oLi?*)mpiGXX?0$$_mSTTW{!QcZTknic=qFsomOSr6+9lEdGo*T~Z$Gbh}!Q0a=x$zLI-f z`Niwh%(GfpQ=1`V2;uTj8EmF9g0j*~f0Hu6|5{T1@2&{yb1Sj!x-ZnMtn!t#m4Gu# z>k9V`i_&e6wKzU3EV*mYk% z4xZGdpUz!Sz%MxSa^*Z3a6GzjJpReD6}&(8sv-3&^X z#>rp5F4He*+Sh(>{GLb{BGC=fi>7)=eb_HGuy?XQ>0G`%&6q1rer_Fo4*-|ZcDZ5# zR<@Eq970VCK^{y=q5HbWx6fHe0sS}Snj@GqF0H%K|Vy_fukx^Bc8Bzbt`g53DrmJh>n(zfOn z$94_kqq#9wGmf7(coH%0Xj_PY24Z4-j!H?*6BhtQsPV{pr-GVUY>pz29G7+(SwF-BX-TI=`dDwSEL%HDcLOOn|8`IPU1pSsqQ$^3lR4lYl#q z9`!sL$VoPDWYTW5x^8wvY=7|rgROBazjOQ;<0#jtdU#M<;uu>xAK5YorCg2L$7*@m zPl7Z_EgUr(z2}15+cU3@$|T45dG?!bG9PqCLfKxtPHL52nvR|Xyuf!;so>UX z7565$JqySo^?&BjcS`MV{mO`P@V11tcf2Rvk7|+M(e~}g(M{)7=r0UF;?L3ABu%Xw zc3{xaL_F^TVgi$x2nxnX3wfB`NfeXQs6dPBY%|*_y;iHs@6EXeYcIEvgSkX{lFkP@ z{?vNM-LG^it#z(bmm0w1H^=>90uMJVJRI$Hdfly-gsZnsQ+ZSwX;(d%v0AD8`_+Y{ z&m%G36>HBeGUlu5&EN>+)XXQNr&jOhBj3!C z73G)kAGbu+xcAVT9NlA!$+Ql#CexeL_8JcZi!0s>$CpZ@o76he?go}SdA$m;T2Gyy zAaKNv-ACKXb=3PU!+t^bl4F-#wkmf1K;H?!?f z5flA94Vj3JC5P108|hq8_KywQ5rOgB$?O4ozvA+J$HAFw&n|gtVhS}@+mu>K-p(V% z;Rs&6*J4n6bDV@6}Y&0JsO;Czt1zDhpW zzdQVz`hjT;ytCX~ljiU0dfgkUrK=<@agcvIiO-TLwbm&9dgeq!?Aa=H@Mwz!oo8v-0kUR7P)~R0gw6l zJt%k(r_QkQsTN@r$5K7^swHR@!Iiy9cDk~xxQxreVD6=Ld}Vpng`Q%Jj;gR@BRii= zvRTa?8)(E~uq0=w=2ETCEi7KDZ{{l_X%?}tT>D#VS(yow-^@|rWNO_nOBZZfqk2_c zWUZHwl(SGtKMgm(C1#;de@k>M>k~0Cswe;;9V9UL5p&6&1qqN2Y|^OgSNe)(BvB8q z#Vco*XWeTTum4dl;vnM6b1ZQgTOAIjk1n56Mbp!Pb0{<59LjTK?)21{H_>n|4hbzq zK}0o?Db$st1T~{YP0DaFz@HpDKYg%k+1&hj{Sa(4aiJ!T`(nudVx8*ud~*6^YbN_8 z)ogsf-rOJTq2>VX*BJ3;uUgT zK(lMi(htn9^~qgA3lJLL+TPc&euz^w9L#DM2!W5g~;Nr6k6@Yokw;x!VqsLa?l4p zQCIuwmoT{>axn-Nt1oNN$WZYrjgzwUE0TkU(UVVhP=B0&*~jHUV9W@y`iT?z=-)+A zoM$-ACqv}ptgEYMSzfOaok>2cFTFW3*<;c9<87gAIs!5>Wx017EX}J%$gr36KZM%g zI33Tq>A6j_7O}>$nSB%tz^VTiV{aK&XS1vc6Ep-31lQmW!6mr6ySux)ySux)I|~Q| zcXtTxwr~sI;@x}B9GRKxLAwk5VD1v9?tss@`ru3>Mu4_^928Nm609q>o7Y z%Y;c*ral8EdT49^L*@iKTR&))_di+LUYw3?o{f)tKoP~w62-7)W|I4wT9{%j z-u%ftXUEq-jcWVAP4B8nu-m#tksMU1naR5Pn>LXaz>V27=v(whMfMM9Y5JqI?OB>U z_*5$qj@9-()1>w-tM1hGsDe43b-R89wtF^EiFSwjTMf$RkXBXTQ|W+#M`13ZNodFy zQWOua9>8029CEvc_0VK4&n5X4GM9l74p%H}gX3?kkLvjU?H~^cnb)eoH5ol>irFAvyR1f=QU#2(hKFa3! zs79cq{;=O1wu`pf&^z`@JF$X z)XW1yy7FHoVM*4)E|6A8Hu^Ds9MJ4AAy@vurx7$u1rr{67v+j_{{P4FQTuS9d!F{N zkKZg{GdB6=sQ#Za83aN>2mWe<^!m~aDQ%l)j`q?1pYsD{7*6AM=IC-EAfj;3wSY{c z>$a?hPvC*S^t8Zvf;9q4*ruBzB>!K0s`mob85!t4(L~(UiFb!hYa0Ubafkgh|I=+*m@9&L$lc<(2x(B#lLiZy@@!gG}E8VVs!K@tp zqs$+5!H=8~RPOheytaY^4Kn1SetMlV_yL%aMQ#)n3`T(kWN&T$m9VSRsxE2u4(?M1 z|MZ_Wo4v$oCsf!Y7pQo8U4h}RJR258c30!q}9@lMb)RCK*^T*)74ay~iZRg%YQc@C1czxlxI1MT*L%D{O zRU@USrPr}sT{KLiShOf~3EfUa=BT0y>qEWr71>-R6sf4_l$E~Smn)Nil@rJf&r(+u zP*VYoHt;g)A5K$Tn9DpoZ(CM5i-?;&HqJxeyqgh^PRSqWQ$u8q0`)aN&;|(h!;5&o$^2 z`U}(h!@aAD3edd~;$(3L?95r5jNpw8>k{}%O{zo-n4}V)Z)KofH0ctHvvcap({VnP z+Q}`ccm7QPj?$T1DMv?rSI}2!?UwV?yeS^+IDmH`!Z}_xAGVhDmyk%16GUOI?qkR1 z8paTEH8j)ct3uWBz2<89*;C;p$6IuSie5U-bMcb4RPS44^xMfoq!e^{Q)^fonAs2J0=Uf{qRVmUFVCpM8o4Nbe9`3%QqNL3|9XAbI7eLKWBa?gan(kajgvV>_34WJuW!x?AYM=4JkFLEoU4GV<|QhRlMpN&x+>q1Yp7SoG`A3aKyOQ+7z3bOwG9m-Y79m;kgr;l-b3;WhIUHG%Bwr6@^5<1-+>^se2gfGJwx0!K8+a^ri{S%OFBKeYc-ylOG z;nt#3`ebbOy9 zfTpmR{~C=Oft?feBiiW09XAyKL`6u*Lp++BS>O?jFcu$S6BsYKL6?Ah2!y#5u4yb2 zot{HUuadIyI5(nk6!>)}fM4`8Xgc8Om(Vfh1s zA^GQRU}=Ywnr<=SS3fzsy7#@VuNLSOhs?XWSSCWjdO4>m)h@vg-&o!p45<$ME?RD= zT|{K;a;yNx3>9RScK>=^146yQvv{R^pU4%9bUdhjD~mUsdHL45zV14i>)MQVByfv* z`!sicIB1X@K%cEm0@%-Z)z9+2bAQ9JvR@Pf zscqsRZzoUWo0L&8$FDD=;)DaR^(5S~?Z_=BEy}S;=!>iAAv3*SLEdFbrSn!_FPgap z7WC!b^6;=x@(4(nGk?*E`eP>Wd-m3-a~Pun^g#2(_fb0c;$hFJM|Rz!VstGE_G-<& zIC+WJbL?`e2Wh3QfL&Hv>;AL%v2%y!(Q5I^F(owA69@^7Zq++$D)zM^9&*s< z=v6L0;ZEJhm}-JN^P2j|p(k{Xd92JNiuvc+$4sIAxF)7fiu*utbt$buJQSfY`G7p$ z#=J!;D#)9glSs5d0{XkrrV()dhQ&vFXm?qA;aME4^B?xdEoYXgf@u4{+$i4Tn|?hkj!YvDVlB zcbYA&)=C7*iMAJlfuwY)Vm^oUqzpgcb%<9ZYIvla1NNo;P@ubteG+jtPpGZ!nXh?=}! z7!S5Hod@W+rzZHi!L(M3muDjjCoSRsT+LT;#r0-%exJ-rOl9BXNw0lDkVTAQY^=K> zK+A!xK!P9T>T2z#Xy5`=>_?JA-21G|a7?>%24FPS)+mrXI`G~|ZdFM7?CTQH-{)`_ z2xnTL>By8{^Qe6L78DGvkA;R(uI3AHcEX*G7pyj`O~mx@tVedau73@%9j!!Jg9(WT zyl4BHJrtRhD*e2vfF{QoRkKB}-yE6XPD`<;pguB7os@Y2-&vWt?n3G9&f{7E=NG4G zoXd4TH)th{XKp_DsraKo0M0;wF}q*vsVN+1eLZW~Qp&6{7FP*lPpNIRn-JU$^zvDz zdI$#v1qhm4U0)^^S5e`2oeGBPO0%X%Z- z9UT)!NIj+g8~=L}=e+6?SBif0`EzA^kiMy8d%aiH3f9#8r(2QP@02ecR;&|gODk(r ztfDNIMTpdwLWs^CHdI*%y?|v~-6%+mrlR#YFbrQ++BUg5Afy{%{n4y=yo#ZM34{B! zntP5>fnV~?`9jEN9^U3=?$S=(uw6HdLp@r0fugi5xr4?4f=P;>iK&hBJ7uPZW2TSvT;#R=} z0c~~s4X;N&&92r17^8)~vu-s5S0yn^0N_$-lQ+gCpR^HZseXE<6~DF8z{Dj%P4bx3 z{{EZahF@rFc%t$Tba9=_Q2AmBF6_*FG3uWc6SHJ#>vg=)r_lqIOEI0>LvW71(rK}A zSK2J8AFYyE_UxQR(xr0|uVIjGwos9p4d>OMVUNu^+!-nn-YtbqA{?$lH<=Y^S+X^} zFUrCeRJ@eQxpJJ0bQ;ra)AoF#h|LArj}01YYv4D)dt*i(TF}j-vtYAOGhKwF2vgFG zMcL2pwx*3b(z08LNe#Tz&qZRT^`LH7ZZ1wgYa#3C7r_$G4r=_m`cBLN`UkcVK2BXN zKh6g<)Ky&cL)xNkDaW}C`AM!VL_=vGAZc3Yozm0AUo4k-=a)j8n~ad65KpBCs%Dd` zPCsj1X%vTthqrF|yv!C$T3cK1fyx`d-%1PNMx7W*6EN&GWy$yJoQSrLy3%qg&pmb@ z)0kO^M^#B=Xt$wN$f07>oI1ke%yzUEMCWzxVkFsr*-G!+$eJ?rY!?klWO>Lbl?W11 z9-lh8Qd5LF@Tq%Mxy_h)>(JKIdNlhyRWBn5ci2Sy8%$-GxIBql%cevQ8iF$D)D5*% zb@qh*{o7OPKI3!)I}9o<7S|SVD0=oH=1#*wGOo0r4(8488txlH1N#84Zk9()=G_Y|`g?!OSKB$c*+F?W zf=1T+9YOvj113&9l;ji4O4Mim%ZW-gNk7uJ?*j`jCLb^wH?2;-BM>$Gx&>#dp_hTH zC|iMWe$GbLYnxR6#nIJ^mk@_pO=6bC3HnTLpYkxq%tui>L&c?^{8A(4`BUwUuSe`s zud*(I%|DvY%A&F zDvTAW;;Zf&cP{9T#}VF0kYdO6C{HvS3Y_u$$2PwJOs8@{uLixWtfGvtq+8YOXfo!C z6fNRAD!RESGJj1s6NJ}{;bpL!#DIE9^B!&sNhs#x;!_C2_~WGU2c zKep_sq2y4-#@3OPbp;_q^tI(t@!er`)h|0u`B;%dRc;_WgErwf059HhrSaF@e#Z+A zL{`k(eQ|eLpaVv!MZUxf2*#N_86c4de28uYVONHiLrnMl0jD#=H5;dT{TgCMMB7Se8B= z%+=);c_i|=&KY@Vp^fJCGx3pvqC+t0C&ZR-zM0~FTjfS9$~Idg*1x}5gi|C8Y_vwM zNf<3fvatxmb2w=LB#QBrn|-~?V(_>ra&2+&V4U{ks8(r<5o>=lG_vgOk|pM8V=CJH zowMPxgyL)RRB8g@KoAA!(2No;o}f76_S5Fz+O883d1qxCnAc(4G6b2QFv&{@` z4xRK-sdm^#(pXbR#us8F4o(ti{o^po^uOn7=kvF{S6BN-6z}>4{aQx4S15Hk$k0rpwK-|WtSlmLO^4mKXl$9` zXPX<S0;iwo;S_@ zy4kwlx*Y@WJ=9)?io?0BklaoCJ2{HnuO@FOl_1}mS!`iE@87${Yj1qBI321Z>FGKG z*(Ukjdf(Uer*VHu-j)sQjODD3*(LH)W<%~Rq7<-tk2kLzijJw?Le1=il>Ivv_ z-z|Z$={c=gyL{R|e^~eKT-td5TM0vpMor-L=ic+;N!Rr~;L-hs{^I#55QlA2rD46x zea?5i@#3#8Z=E^n^%`i}9^e8nWH}ayL|5g~-r$V)tHb$J%TO0ttavsp4KqO^$3%yk356(SsPZfvF})tI-aEE|MAj} zR-B{Ng{l@{d>;8bJ6sLFj?z9(vl}->Ced*m1&>o z0Orb}Zc&PbS@l{%K3~^ub*bPH`uYMGTpBgp=L8SX$J=ZilKe-En8+PGy0PqO?Kw8G zu-i9DYO}1NYf{BUZ#W!KuSqHP5oom=(bgE-8CTNmJtXD=n?-|f?_$MHKyS=&(`WT? z0ju~K)0p4p6Ef+}l+$m;>fIEDEZei~`BMsY{)M645VOO0p-$W-vEcl!gHy+I6S~!Y zoc`2^`>1uxnN4rSCe=;M^Y6c_;C%)gW^_8)SNl_=T{iE`E_E=^o7sdt_jk;uhzh*D z$X4B#3IUd+Gdk{qP4qKjoFXp~I~-{JecGr~5?ZB1-J6S_;m6dL)7L)zZYgvk$Ix(IM$#bLFwOZSe?%zPQZ(EU9)sL}JOpZ$HPgS4i&P>r3ziZ#=; zqnjN*(#(32U@l|4dv?8g!dj4;1LXXo4L z$@<2Z(O*w7sIR%d7DZ0$r+&K_xwPeBeRIEvCHlz0V(REq1Z!sy!aWrpUr#L#OYdXE zqb1)0Ev5Aq(@Q(s0>-6$Bu1Ul*eKByb^``XY#?}+IUlkL_3M0_8m>Z=9n=5_8$v$P z3#$HeACaQaONBbos-ktY3w*&wJv&K`r{d%J(r!D%&Pv~E^kFhz{7>|{bjJL;=%zG? zJNuP>Vq;_DEw7vC*w|RO`~B@A;1f@B@`Vi)nt9voAJ@{Yi9+Xh&o|*@qNOH(&J7;4 zN$5X1TKc*a1G`ZP?DX$rI*!fZUEmM|qh&VfDm4pBf>@%-JASQ#tnVf*3R%MM+xzc* zORp(|!J#xUqiq>J0t_DKs><@vhv(Kys^4!P7xz%bzZmJ-bCC-SeZu2FKCgr>$nKj# zyza92$peZ+oPlb+toKHyFAMW+ooFuG*e?PkD1fJfOdlVg$<41|ae9Lj+)&(GZ%3U^ z`5h0up1JQUPb2x_1}T=SeAza+Up}~8Q%4_%l`btJSw9iXm@#ff3zNRWZar;bJKeNt z)Un;ih$Bt5d;4lVYO-!LyVZqm{O%rl#F0k~Q?P+fxLS^Vjy%=!X9eW<$`XgbTk?nO z0e1pPO@5#M><-0pl_4I@Mny-vySpc+q@3L4yzqrm|45$*0*O9CK&u{N-T%pm7Bw`-0Z*$Bmes15Dv+%tc6U%o=s zyW;2lX&K^w(AAgt6w^O)=J)&x#>d;+t@fEk6N(+DjvmZ2Z`UsdH_W9M+bPN=%(NF9 zL;x5cWfAs7na25riX5KBDn{FgQBI|%fLG2NpH%vLS6y8=1O}OgvP;1_mZr`~pI@h1 zzW4Uovly6k{{L9ajS)`K9xU&3DK)k0M-Oc@rBKk@=QVhd&#|Ijaz{>@g@rgFw6N=a5F9^-v|WQba6W(#9nQyhk4)asaa7}QPlS=t1!d6cJ%)rA}XmEgr4cTzuZ ze%FJKeGnC*OEk$q=|>qN%Xy@gzaKd|DgiUJpqk}zPH!;p zqrlZ)_woOIih9c>I@44!@T1`n1ROKAJmMj!gH3{1nd`3n#V1z|_V+rgaX&&(Plt z<|hIuZG^Vo-F}eA}i>(;l|Xtg344p zyv=Th1K|&>0Pl*qw;K!$%nok8iQa{bEGHZ>anI4=OB8nUOj+tf=*>xtinHwLH3~XY zTctmj`8*cvwn$@pO5lM0cv85fB0L$YjU*+0;f&ax*3cNuLY5UTL+12u8~$d+8PCsw zZU!W$ESljr;=+plyoEF=GK{1u@E34sCqGfJ8AP{#@DA1n1%kOiyH`^=f5Mc0G9h4p z5?gEF7bJ#bB=z017dlf@xF$OdK^%2x64`pt3A@@)Hm3aCG=br=#{C$#V1atjU}t9- z>2Yh}Fy=tFB=B^VQjIbvqtTLN$s8rXy_m zzQ4s2i+=kO96i+Hk*5^tss3^wyb@&eR!u&O+6t-L#YA&l2wo+#h_y10s=Jh-mHEP7 zBkZrOT|Jf@m#u(>gCiM>HeRKmO-sQ|-3Nzz}NX@%NpXqsIYht5} z)|$LKFixy()iP-oWMaUO&;3e2-9v$a`Dx~rc+0OYEoltsnCYrrbhrJcIXFRpqB%J3 zXlT3GKG^>H_lU%%VHv}J-~4bboHA(d|K}&ZPc=b6pJ-;a*3jzWH^ptu9)T^uDm@)k@aLiUy1$qC*HZ_^rNh;=^zn6-jqfZ4T_UEG z&y*)PGBgP8rRGMnW@?k&Vy})0l-eG_78H&$}bIK{@esa^NKL5A&44zmfuGCImlcicGRIUkn6O9Ec#}??$qG4QNeg{q0xGc)nGkeq1+}% zSjy`l-Bc!<)41QHTECj2Y(_zN=E?ZmCu%oP2k9%U&H{&)>1y@((cw2D-hBy| zXjxUc&&H&((Xp9Q(CbBPC(dLy2%0*p-4u^m+VaL38}Ub4QqM0~^%yYP_QP}_%L(T9 zVV#I3$6`}6!ypljS_xsG!Swd!=DO?hmUbgXV7K>*+!QwHRpDjJ(b$Qe+3xlv>AT$D zy)#?J9pAl?*1g|1dfe>BPSjg%HlFWJturkl79#?>C-j1@+$PzldL^#3UJUq!rL)H9 z-U|p{DRem$?HnoX5`6?zCXBgpzh3LfO{e6gsX9@?I^!@%z9jzF!p1~4MuT^CC@T<(z^(Q5XH=o&w_Icst^2|(i{A9RQuIKNf0UnH z9F8ihiQ4&luwM+AnK|B1Uz?8XOYtkIKmD74!o->IufAXST~0?ssIM>)!N90N&J)U= zQW6G{M0P?>ORLMQW5vpZ0W%bdU~+uiX*lL{7^`L@J=nue{XNt!pljV|fcfi{7%5PC zbPw~<;$_Z z?`5l04ltZ;hZ59ORXNS;AcNY}DNrdtv)oaMdu-R=3-9=fhQ9Mw=~84PvnKNrj6LUd zbgw+0NL;(AxQ`CDfe)6IY3J*)HTz~dU%T#~qk15qJY-Fo@L(sW=V4wdgel$tTgZbp zx(e|}AWO6AX&IBfM4dhq7KALYUe*s1^^`$)BBVDyUiK%6*@c3F($Uc|G&F34VjUYB z3)c+|38_+EZ9+hKF)%gQ!J=F8e*sqsE?vghVci@Orj%86nc|(hZjD<$MDen{xdWU# z{Ry2=OO9aPn-F8IiIDBQx^e7oeSY3NA$TRJ)bKl{txRKSR=fE-R=W5#6NvDfmfTiZ zr)MK-O(2ZkjfwK`Iv(S*<>LDn5QZ*xz5Fjm(In?j$2CK#%FTn8`61-YS{v9pZm0{|90?eO+=5FfCSlHteBvM>P4x8N`79&JtkK19$ z{mg*B-zfL&e!B!lGDle1ETjq@?hVRT-ZZF;#n^sMbrUjV!ncX{l>MwuPqUiGPF-ZS zX}G~wEBufETw!+H6fF-S^5-TL_xItV@0^bnMdGmC69Ormmr^af9bc;Cg!8{I>f{8llN z28hq$di6gx1C?5kLFe}8WBtrHXq^8c`nUT5RoQ~-+I(-W85@EmxqvqHqmiwGx68sF zKy0@J9~z$6h!9t|peTs`U)WNM(VgD2rC!le40=4$M*ySyrMjBP#;w~&2#%F(KHc*6+acu*%pxJ zICXe$E2^g-2dOrLQ$wD?_2Pfng!7=3CpsoWSLhFcNgVz?*ZNb!*UAO~=}z)_SL#mjv3uMv;n+3kLq(q&Az+|DTb z$%Vs(W^1;8w&Ph4K_w;b5AJ~eT0Yt0e*zoERE1(WhXX34+{2R$z~7F*9i@2AZ0HiM zNI6Hp&K)J^<`KNxI<4_2;fKmx@Vjn>eRX<;>~O&3#yQXe4ccN%pO8bF z`B1cFh!3>`891B|l`x9>FdtAHpw$r)&Ah;_xu##K2}_O4!eSrK!{|k@p%TL7VF>O} z6(7q?A){l6_dlaZFSoSf5Z2S*Gh%+nJn-`dV+GkEJ*1E2K*8KU${hEj<_ieD7-Ev@ zvrdHK2D%KKT<*lgbT&u~NdM)GAT{6{Z2NEmk4h>gu#k%fpx?tb(^J6xUw#(XxTp6k zPk5Gx_B=99AvT3p8>Eh5NfOCAmshO+mYs@$ZV)dz-DP4R z9|+u#8f9hg5u;pbhxaCad~}H(LedF80=(;KY?|mtK_NvHnPs1S<4jP>e4_08(_`x< zTxr@wSta;+C)(RB`BBT-bTu!(@3Oyy)bO98MVz>DNb7fqjyqPTwd$kE&Y5%%<|=^x z*F^hznViRq^6IJepV`y*%a3zbh$Ab0eK|5vA8-PZgbV}$=0gJrrv?5)-P)yK*HFb6 zMLzQE1fFDMrXFz-{l<5tSF5+xUH=(8t$R!_=@FaTIh2G-0#fRgOFq9 ziH`v1W#fo1JJn}r1Qr%m)nXHuX0-pH92w_d$`iK_o&)xz25}at%s(Mx_^%*fb)6Ij z&IBugY1+kiema(9f#Mg&+slvA6%0nFGZ`mN#09Z9d)#Q_yj)vBahGKb4_tC1Yheo? zQA^m5LW%BxX)$(F}P4gcz}xF!<)nWYdZSMXso=I?RtbgZqg z@0Fl&zgdJ6Day-^fVH)ZX=yXs@gv^MNBaFgsg5~gF4_hD4bS&a*Tf(EEy!(=K5w>` zGAyay;alfN%p3#kn^p~-KCLZWdMH>5{Hkiz`H!_FMOM@N%IRVX@{M{(|Ao)X7>K{) zvqkV=A`gEsB@O3^lG2!%A*oc>TT6l*q&cUWU#RH6EC!#|#~J;s`BLEA!1Z+5k-4}K z8b_=KrOAlDH@bsH4ocDJ>6{DVg8mHy0X<5@U~AGt>bHN~GRE&GVh+foea%#!z0 zAKSffA~d&k<(~yU_DX;E&-_vcCUR}?BEY&rX7QR=AX>h}Su+s{4d?lAfq;nUvVM>a z74BW1`s?!`gC+HBydw|0W>+_ztcO`h$RRQ+|i+i=M*5)6mfHsfEBm z->9f0bDD>1otj!-dU6=_lFL4l=^takf3XPlNB&}+!NC})md;EairO{s?%N4u*2!&C z6sCC=djCSSJdN2y*T%)oWv#9F0_{#YCL9PwmUw)A?!Dayg%jJS3}VUng!qKRLE>ZG!EPB_0PGQ39!5T-4E(G?-TcaVxc-FOpx)I!H0yEl&rW;edXQN)HHqX zi47kZZ*Q{WF%AemZn#>dmP2}D(nGL(WBL$lj!eItP6U+7{-F3m+NN>@sZa zAsQZarLCw4P&Hx`5=34m44k>Sxo9lDw2aK61)f`KXdtNleUdT48pgB<2Hy}X#C)iU zy)kQL2GoYSwe?D0j>l8e&E~5yo>sN|VykmeOLM&kSA%e0!L_(6b3fEH4XX;6`(mqx zUAoo=6ElfO+j0#f(63O<6Y9j=1!3}GNGvJ6UsO5iX#b*2d=A)q^62@BZbxlETq0&EP4*8x#E(P0wj6)^)#sSAgz3T-@Cy zd?ksU-|L|WJxto0I-oIbr(#85c>1M0nzR`5Tle?Bm?Re0qRSlH-vC*ps|+xu4I5o^ zI6Qr)i+dKXG?OgPo*`fQpq7nwjrNs%6yx0aNmw#yoFz`E>(!#tOBhaR@1cmxsNcsT zDqE;JFR{*UYkWTelQk3mLy{?jTvSmQKR4YP;2 z=EYQ>q#;Z&vB>T%)w{7jj+`&|X%8?$#uagO6S_P|d#sH(Lc2JH(r%a8W`0{q3JUjA zwSl29P4-F7!)aI%@kDGoI)AYNyTbeuo1{`+34FT zdyT6A;CCNfxSMjhjn*iRQN?<2G|?_rvT$@9hrlC;Q5G_2=Wk&FTNMnE_drnQw2Um%@Sp6wMWn>pH$A%mAY=x!1=$ED26O@rQ zgjTLU&?HsHJSmC34T46FI7xxTHo{G}3^CxTcJC?~!^iZ!zMlaRt{_NDOo#U+tgK?hi?&|~7@T>kN9 zYq{<=(A=z}wG{)}ShgCeFFt!alS1e?)mjgvT%sM`Wbx9dAD*mx`aG0wy`ALLmV^K* zY|Zj&>d&k%Z(`j&>7~YR(Qf%{mH&Ij%iCZ*zE>;)w3xI=x^g(j6y6O%!o-a6As5wWnu-$7;AY5`WzN&6=$-KbK<< zn60gtm7QK&+>j?R3rD@Djygchue0Zytz8)T#$8U^=hB$sVjYB+R!x(h2yL1DGyDO{ z@;ea9lhBY1*~*Tr2HH5H09d8$ga7VDrl)+1_TI7Dm4p89n$k3S=AAB%laSzYSgd<8 zXL0mJIa_|t=WRFg(06D5W^X^pu-O^~Q_8PE(y5$zZPr`RVK!tvLm}8H&IdjP1ICn$ z*LQN4?lR6i3#R41tqxKXDn<4(zTt*B{<=x3vGBx5a! z%C4yGY2>YZ1eQLLno_&zmS}V6+o zd)CXOWyQ4tnvNiA-E5r(Tf{7`#WL|rEf~IdX!l`LBQLLgttH8+5>KC$X}=eL;Y+l=e3w7Ipg9In& zBse%3b^3J)L6A67js-=!-PQf9vwp{8XrKklXtwAp9v%QxgANP(tis{WIE<0bW;1_# zH{-l#U~q^o1R7IlG!7YnF!?)KgJ_I)LT&r>sejIgUZm*psz!JTgN6g|MaOCQ#eUn8 zh-fqXN;7?7SP=Qa#?-H7chB6aOSF-_zAh~PG>)6lQ_~~(+dK@g!kq(hALePM8^|VS>%(GDp2nd#D zQfe<*xlD=iFJGO8qZ!0Hr$|X&X<5CDt_g?CnYCy-_0vlIRK5~=obfvJX4aU|CqHkL}w3=2E|hE|L%Ey?Dq zD(91|@&nR&rrG53Q4{pcdZo`k6Fcc>Spj4*2-w& zXBg~tA|^x=<07WbCkC7AFn777G0C*Ucqff{60mOy(h9(l_ps3klm{j?{LRTJGGe1< z&DZ|-!+`hGeE~JPWymF+8#wKFY+rGnewbh3AN-ysjrOncS47G}F@ggk?ge+_Rwy1< zrHIN{NYaPkk5V(F>9x|jUj%z^;bXof_x_DvOaFS6?}c1Wb+g>=5Ow!5+NjqClAbqO}p+{O))Tz47&?{~_#HR$ik z5IFi4qq->Z-GG9cOdt4LVDJhB##5;Yz>CPkuy3YkZ&8VA9=FG3AH-z@F6e{m*Ne2u zUp^?&qk0y#l|SXb-N=~qALsSXWoP&4JBthNrng0!dNz%SvpxxIEo?a68z>Z%)Nak^ zrfELK{#lC@?Q`2@O|Gldf%b~cl_8WuT4E|YoaGRs*X{jT);2iyHZ~I!Jwu*qTB?gY zdcNhVZ>va*bQ9=KIu2`BVvZR}Mo9I`mzi|!^?1y|J@|LyH@t=^MuWHMRYhXJs zFnxi?2O}5UgCpllWgaJMY~#m$Q)wFV#t;2F_5CtD^=h-$ zQ$-ry0?!u#1ys*JrpGYmX~&$KrFEfk-}lRr>BVJp z!%vs0wIZU+^@n=3Y86%4QfxSP--B8oY9R{lm6B8`poL%8Tc=>SxA*T#%OpFggS-Vx zs*EzdJ{5YDRWm=i{{7o?jrbTS`?%V+1{C%;STCV+6U0@mV-GpSns+?L1?i+7Youo} zPk!m8E7EI6dx=LwXTsnJhQo-QLc-zP$^zP#)3cL13Hj|@ZiUw>HpA&3AMH^ybyn@;c9cM_9dqCV`plei#n(bQ_9@~yV~UWHg~D8TK|UB+gFlKarTZVlgO$#I}H zyfAlLngMd>=IL~)_TlP^-FLHrBL_8RuL#tZB!`qVTw2-*uRU$K9V(uF83K#RUoTM7 zet<$3)6;XnCx1vKm5r_Joc=EemzcL@li?mexF-O`sn4y&A=dPsv?Si5=E6*Gm}z@( z>b7e7*O}i_d7@$60TuZo1J;J@HUonXy$pFNdsl9MQ!?thi-m~rnA4k1Huow{`G4vN z!S%>-S}_+WQtTm#J=4Y;Ggy%Hf$5yP>sSj}&nfGRhAxO~K5xNhW}JExM+HPG)1^-G zlRXJkSD3fmJ4_efZGX2##|XKX+A-&n>6RM{1u}a3B&x{MD9gPsp6pAj!%gYHo>>__ zIunRI8Bn}Q45}XcZ?#Ar!VoNjj+^DTQN-`=>=Eteyy*a(N1Cb9$c6$1DCE-EcXxL= zIXRlGHVbBIdlR5OBW-BkeqTTRPfi(&c0XPeXo`B4Zu;pgech9etbqRzwUG zmI9_aS7T=aaOmHTB`I@NS>$+KO@wrfPv%k+U6pUJY{W{E>79VU*;(!K@XLdF4CWGE zoaBSK-?n&rbw6-h4Gb+9RJ|cT`i|N}y6pbp;)VsPhi2rmhfxtLqX``5VL}CkqOmnP01uat19qe9 z&;Kc8qpTs*aOF8a=q72T(3#(G*%i56D0_FkkO0Od$#^lG%*dzTTTSox9HuCjL6_&M zp(%Caq+Q8~s8nf9UM7Z;2z4dp>}}2tfQADCgT<-8>b#JU=yMs1o6cIG#mD~|L*3!l z>_~=Z&9e%XtpCB1R>=dtWX~PwL6;rK3=CFST{%n60E&ny6&a{msu&v?*+c=~|77r0 zG0hxftbVd~mS6Vnh8@De)(ma#7vwY-oZKZZbX`i)O&)V+>yQ!7*0C!t;v03s7!o?2 zG!1-ZQ~tb4GRvxSUlF$_8!={SvdhN~`!A*x_ErrzgIv7S1@L$lWJEInV&|l|*X0_^n zyF3i+2-cq($ndy54|9wD#7Fvaf4l^ram2eX#UN?fs{Q%xvY-4NIVT4$d(#SKLCFf~ zuH$q#-ZKWT{Zvaqth6q+rKBXT`9Ww8ax+zfe8Ifql*-je%cDE4c9GfJ9ry|?x&_N5 z&7QF`qjLK-bs1~FZOUb`4LS|oz~H=I>oip?^jFF_Tk;K%=?zy0+H-fR?2&1d~e9 zhO%ouso^&t@*b;&=?&$cO~ZjGXN zB*wo+eG{+i4wl!$mWKhVA?iFdG3&brfa;C{vGXe1F;l32qf3mH#1fA_b0zEN9rj^0 zfxaId!=A&_&Ol^gL4k*-XEtwzxIQRqAtQNIS#Bg~?i=_$NgL+%3x7Bb77|A*g1T{~ zYGD{hNf5hm`(P*jG19wwUqN!8&^&>1FHt8QyP4a;xKeGFMsekWlhrKrCAk5*KFz`* zd6pr(JZnY|d!8agsoyXBq*A4+B6Q+l8^2zwNMXe04uA3UK9IGzDz|@3wCx)XWS`MT z?vxe}oplZ!Wc+x8bm zWX{1s%_faa-MO1s+0L?vRRe}G&itd&`RabHv}Ih45eKD*G}iOOIWCC);jA&0yYx8x zqXp`8iD|rX4E_D;R5 zSfpKPa&zh(>wl2(Sp?vor;DtpYfC=C3Y_EoT`^))QKsPfzA(1?c zq4-9xk4qQF93-$kQz*JrrOp&8nDGT37%Z2MiCOX%O-MKSwe?Stm;Stf9i9D*srgHy z5auj(rDQ5VDNc(N+ZoW?@k930Kk8(R&wd5DOp@t*0@IYn3=+v%JO2Spv}z{*8wIWDKd~mF}zg!%xfp@|IPFx ztZJGeC}>Ln=g==gT^b}LUIjI=rTA>eJqbIZZidWT4@;&rcoC*RFMZZ@1dqgDzXN{A zX386OxA%w?7N;XXi%^%f2SpC0_xiNqs+uHD>M`q&b6B#bJBb7?>eXUNn>^>9fTH3t zk*NDtJ%$shL|^hFDmxC=vwp2R>DkmjLQ#kuRg6>g7?oN}TrjqRxhB@_9$z#WGHLw< z8lfcl*{IORO~y=vNvqndU4KA>l<<=aS#-5#I8!&DIS zPqPDq(m^-}bV|GegcVca$dhJ(9&V#GQ&cxQKcNa z%YKZWp1Ho7s?$d^z&WG6i709w3y}*)wtJ&uBWXiFUMeTzJ1itby!%&(AQzAQD7*;3 zB|KAC6#B=sDz!mT@lN7+h)J)aZNJXzYe4vCp(esQzz&<0g28O7J}$zVKk^1I5BPRt zz4KrIYs7KI_n5Oxm$hmj2>|7kOhOBF-?nWYk_nRR+WvHE;3Y6yeazp^K=l9j44WRE8k|G` z5xh_LI}i@?;dbZI1)Nj-Yhm+vm`gcvOqmeOx@%vcVo@6gUUfgyZnWK)k`thdm)tWx zSNhY~IByK^G^g#X;0!Q?>3gxa*&29RjoOAKd)8McXnORq{U*2>@;&gVHz4ou=ugFe z1X6C0{AQ)}!Q3D4-*7gW87D*<5EaDCPm9b&hdT7o&C>%A;B&b^gL1S(RIv)Hn7$uG zf0%bBWFf5v7k-*ew*u zxbSC|lk)5h#Ot;I-`DMmIc-hMp|>N@^I)ntpSoNm0z}&h$%=Vc6hJ70(jKfXDMWiaB8c4?v$l!Lc?ycqzT<&CtW4BVnA$>@TW!Ru%Q!M7O~?McMhZjVQ4TT{#n#;`@W`E|EeN8WsWUP|M{te<{73f zIN9G|Cv^GBkq+}Si>%`{a%#bjPp8%mlknJ=f{jYx2Ba4G9|nm>MQd1Dy=^*4w2E2k zKY;0g^at4K)QE)(eJc#{t$wZE0RC?!T`ipCBHVED!@_;^1i`Dx=*`@02CBDpAF=YO z*jOM&6~)qP#;Mi+PclPugys$cZa_;++TtLX0Se_25Rj)Fw>R3rzIkoNlz^@ zJIwSWa4Dq)K(ipP3(!XyRq+=|%)6!^gBDXW@R#eg`Q$yyzI)PB%-i%29(f~EP zG!&mzqWz{$yRDmd#hlOeW#RFN_NQ!`Y71y?kB>VZ3JEJKnd-?m8Y43_8cp^%n#PdW zO>7b}Wvd3Vg_K19k=J}OE2J*Lm%wQQLYMa^+^E@@!EQu<>Sp}hwvHd@gF6j>EgZBE zu3e95*{vd1`xyoJ#hsQRqF@n=OO{^%;S_WeVe-(2mkmynU(W8+rJrTP~V#zU85mW~S zkE=hNCu{{nuTMi|50nOZ*IM6hO^{WV4hyC5J`V2J4csOSK5bn z%iiBC*w>t-5N^qmP}_>;dc5>G04-8nhd7Upf5fzy@V-0Ht7 zG-hYV(Z^xtA1{Qjm_k0V8aE|Nu`sFVZNvO#jWRynGD4uljl)bCTXjrZtdD{cLdPVF zy&$c?&TL%sURh!NPH@(TgyCtg=AHz}hr$qgbIbYsRNQ65fb6F*FG4!biR-yWBtB;J zv{1XzU=m2Lcl|1AFSOysC*007A>51W0@Mie9~t~(0tKn88Zqk)=oKG@ibTEWmVGKI z-np?=J9RO@=G8x0=3bxB1)@Yj%fJpr8u)V*>)W~TdDdX{hfb`AN6HdsO<$zzOFTjX zdKzWk3MI5e@e`QHa;;9ndR>f$Z7jlCekb%JpQQOuW2o!TL2QiiiUzB|PnS-m0H1Z~ z+BpTD>SH|R*&K|jPxG0w@pWdJsA|9MVGOMrYq29o`b+wgx8XgAg-EJs{0}u-kz1gm z|N3RiPN(-43>s|1gAoTb>`VjiCPgi1y`*afjmnqtD2;0p)Zz4Nr&xA?xyZYlL$3Fm|CdgRgh5c|*O z@f=__2M%5MTZT48VoH^!@Ey!8NLeU{6a%SRw4W@0UjY3s2a!$>o49(5+FQUM#%_u zbl&=Qx1RCs0xbF~B@4q~+-pNM!Q`})5Fi+V^neF+d*8Ve7iPNxn^}-Uo{n=RUQi@- zZSv~fvif@|S>e(@_<^()@w2|GDU&dTurb=9?D}`sSm4B0-|3%Pr{fIkfR2& zz@dALD~0Fh%EHbol-^N*_ zO+?NVj=DoHJ~d!~SgxBTv{IKmqA$A$(agPS-&w8losEZgR5MeB6;0npft_;559wWV zy9Wnz_{diVkc78<@F69h8q?+ZOMjDUAIdy3=I~Y>T z%vlY&yl?8y_Tt;F>ljY9x?@<@abt1z#>6vqKEXJV!#+AA5|W`_y+_JZHf{A=>92g) z#scdfART*s&Im5t|82R*VJQoX4cXDL^U+VI^M+;suD`&$uu#;1R~B|-7=_h;U5U^z zA*Tw}ac+X_HxrcRQ=$1)eOQ!nycWGMMNgm@L>)`MZ0q)Pbcu=t_w_RaEBvGQdBtiSX3vn_|QKt2`K0-Ey3tOwbAXUR=)s}NC z+af(N(mu0gtTJg{`iuYgA*6(^Elxi+K}%9tfjkhorY*k?&^}-|mKmYNqXBF`%+zU7 zj&ROQ2v}z1!1sj>VhYQv7%WIh$o5@q-J8Ngi-3}FSRgCehI+9^+)*>#vuja$RV$AT zT+*_k^L#V6ItW)i&zb9m@>3-VD4HNSK z`o*I#C3+4iJSO|r#Lm4b{%l1Ti&uYf?0#8KgmdME#}M92sIY{!Pl6a|9u2AvjVF<~ zAmkDRq3jW^iSIsqc(P+Q>WN-0OxFULA_-~HMl;}fZ=39`OM;UJFj?Su7{ZgwXdx-X z%{55CzhRD)5-yu~elJwGDy~A|i5vKUh~%=qPVjUp>W9}oY@_!F_k#iI6W0r>&py=r z1(VJ~_`ZmxCj!H91|4`)LQju3Q|=Atu&VCcS5vo!DhcKJs-U0Rtzu5_I0&g07#|OT zX5V$k`9s0(z~g^8X+#S-m-h?Esr(r8>9QA*<)cQlN^r{9S>0TO-8abiEo;_YVpfD~ zvqDNC8PdblLYtOdD^cs3oo#Us;(BeLzUF2wGXao>e$hmsq|7fwCh@8rBS}z@UIN4P zd^_4>yQnAO$CSzq)$^gA8@+*)=*q8}=nx#A^p#VCAeM>88=LUTv|=n+HbeGz)1iU! z=7hXz_5d}YFo23C7&n|!R@j6&L1QO$tL40;cfJxEmOiHn8Hw9kI$ARWH{EpH@0H@| zf$PbNZPgw5%p?5Ify&x__`I>}J$0TgFy6Pb78P5NPUu3)#*EW;C^2>n2gxZPp3Ct=U#3>o6H_|-mph%ye1T@KE1yX6 zj$`(_%;v|Z$n@8~@?ghD4h|=sztOE5cv@y75W^OncQqTaG&=as2tQPLM9h1MLZA_X zJVx9W`LGi`;OKJT>@skwe!d^| zZG1Ix*&~!V!KMKKeN?DI#y85kIiqj*ZWk1^03a+OQC5&E;+;Sktg3!DplT0`cT#K+ zlVgI0xY4^3>iz*SPOYSMq&p~5l>Re71w5-O%rtF(+J%-@wQ4;$P7yqQ+FwF9W_f6x!(COOBsE* zUJaxGwPH>Mq6~LDFAexe;Lu_Cp+#0J2RL>J(~St%3yk&{TiD`pZEol(wvrOREV&Ke zb-XEQgquoiKyOO}=S9Apg&`zn8a8 zd%fd7%r;8%(h5Kzz4_$0Y4mhqJ#=!_Ycxy9C_{){qw=Xe{{AG8lX<9l%2G(3s9qr0 z-80M`gES1b>V_pEhT+MMEaIWII!k49m?H`R#r0P)oMH8Q8=7zeNO1nvefdgh`I*@M zo-xH(6l6P+l`)F>i_C@-Ru$(z>1HnULkIH(HkhaBU0%Vr9?0^*FE?@UyT+b|q%LR9#JiMQY) z1~5GuUGP%*h0-$~JXf=P)WYg-6)t;ad0)<4t`%JBvTQ;K@L0l!hcWB;IX8kUrpk0M zxDA2A*mLtuk6j4q2wm*k&|6OmKvlP;-Ue6dOHqsjAOsFInt>mc;ch%IAlze32u-|| zWk8tg+m#G%<_9a;47c#B*+|Yez|Jf*BMjElNGZe}(o32~8Ol=D(|xBsl$r_ev5%WH z+L>$(s;EPNT=7kDG8bc@a?_1Vi4!P}*XsRU{6Xzgi3;QwIoVfG3Qe~%U{9sI8>_dz z4zD|%M*2?sos=+KXlUC?grf2^tEaksc84he#3y80`pSm$cg`9-lFJHS@^BYZQ6CF{ zOofKBqs* z(V6QK{sk^^7AXhCe=1fhS^b31=Eqaqj_x+@#vaPw9hHAq-ibMzR8^7-lBwW~rjwuo z$WbjEnT_P!N-Dc~do!b*ML_#P9U=~66RVhO`Uhu~SER=Sl}b>YfmDN-hpQWla207z zdPb58W_HkW8`H$AJxt)75vXsn9gu~U!tSBsme<>go|vTcAQg&423j@~#97PU30unF zdS6NA)*<_W-2P`EvwTZDeK!$(+qGHqu*kfDP{DER%)SVpZ(Lm5&u{+M+(S`2Bah5MX=a-+PaC`|nOSv^%_eSovLSPD zuwB>$=bvaY3W}88MiKIq9vElVa-tB*O`j|jb!ZXM=(1iILv*--+26;blLMe%Q@^IJ zS6>@SaHB_6Qgn(JAKg#8r+z7~a68(}H0`~#98S}DYvpIKTg~o@S{$i?!4OWZs8x1D zmm_yD_pq5KK2KX)8`;GtD=TaLZ?^F5?d|5SQ?g=Sa_JWg49Jx-1?_%f4oP%}OEvGH zM|R+5r9QRle6o8}R}bByw0>xzw0{0-3#7&-G`ZdCuZSk`(?YDx`5m4lGF{DdblOb{ z-!68nJfe-Hf}|mxif?I4APHKJ|D65vaM-D!E>l`{@0E!{=3*f6CeaS-v8UfS-C6Ri8JTk4N`}z7ga+T4A6e(fSxw#5nyNmO^ zoO+o4(6G}f0IrXMPk4U-pYpO20^B?r_*GO@TN)dISEE46ymajFlXT`PV*;{Rj<0LU zPc0`AxSN+(Vflc4yT_T66a2e&h2OUxhInp}{k%y(Msl$dnpq~GcuY3sNj?vEd_+>rbH3su_1pbH+V%1=WCRNpMSWhf;QES(C_{&@9rJQ2N*BLZ4a&)2 zIEYK8G}BD1%maBV4Q@=cbIh?jQ@4Csx$HGfeSPIgO>{2h9obsXmgG$H>Uo5Z9v7s6 z4P5ITinRNSx%2J(l0-xshJiqJg>e??D|q_hSn2!`HPu&Mb6H#132ruA(3FDu`cy*c z>J|pB$-9^lc)P}l@f!~bLQHrv&1P)B-q>Uwt2O!dZyZo{ac7(1#Ol_mDb0(S1dU1u z)&?vPAxB5Mz#X#InJPWs{yP7JaZ|?rG#`8F2ut zD?3S7C-(vkI{K2a*RkFms{XI-*ti?5<7%*Z{U=^nXqz!H_U(uV3*!0T6KP5h#gtI$Y1*Cy!q~hxha{Ae%XkgR9 z&Qf)_NS_kigi@ho=o~C_PpdOz>k6w;rF@x8y{nS`hXKsP`o*-UXC*Oy4!7yT0)9X> z2gRSd+C(5~<)x6iiABKKo>$V@FMi6YfpNJ5`I1S7Gi`R~?a*=FtFyBN8Exz?kfPuq zCaGngWo^-t9_h+W67bCCLVo8H=krG%0^gcjKtX?{rV>H_YJb(%oUNNsOT=xBwa4DK z%DuV8uWriKOCQ}mm$bW|L-LKqWn6t0x9D*>`DLwgz@Fn3y)A^!YvB2E?Z}hG$E7wm z$#dAwd{b$kuLd%Dxaq{~$iePR@i{yhlOBJk#Q)q7fzSa;qTFZ1lLOA9MxV1R+ie6 z8!DQKs9hI@q|yF(rM|bC?C}eVO4yyh4h!Go?RR%OWaeAlyy~=&YIAgKEx2=$3q)P} z$Q3Zn%F3Ds$9XxDO)AA=O|BfGX(2T>8-L1Kw-sr?fPGdxv31+@K`_>|L)*I!Hf-|>^erzj!-oZmXi}$x%fbO1AHSca~&*Ozc;WsJ%50-gXHFIyA{*8XRH$ zaWB`Kr;=6vEl49SW&#JU;+cNi|4_)oW8whjuJ?bv>-2|F5t@`m+Sp3-!obe^R*X+H z-0LpgVTsxTua6-&fTabxs+z}+PQA+j#LOq%xk!JS6XWCghp`9c*VXD%1{#&6d5{^4 zUGwOv6=8*4^-|T&Ryq>9O{>9GA1*sOzo)}(HFy~0x|O=qu5mq>2}+Os4X>7u+IB)^og~cHca1iLq7h8T#z7vb@kx`UfJUqItIaivw%gh= zsf4UqL%EaXTlKGB_HpI&pJMV3>QHI4u%)>^2HjdM|@8cc02 zp5|iArG@><#7VU$V{vykOmol>@*}osn#}Cb5|BO?4A6%xb5iqhxplxOut zuXkD|mBMC$-{@r1-)XJA#$amtE3Uw^*;c6)u9Z%z?$x3N`xKxC`|75;t?)%E!5F2nhfe5LbTgUwQ@^b5gGA?9YI3rbW0!VEAkNpEb<7ptzx>19crU zg}_GR`jBA00eOkjP=fyIYNH+XnMJ*LCGJD!DDQ8)1u2Q0z!ZQXyxU{Cf3SkJN z9x0L+q>mNV)(0yan;_j6-IvcQ5IT|4aPm+~XT?aktiI>yIt(2Kw`w-%>)f8ZEp%`7 zqT^<0H@ohZ<{aPeBU{_s!{}#~l+dt{+RV2abXIF}+o=S$d%?{fHxG!PM(csmr*IdYUF%s+*pIeb!%hg>=qw1V?+osd~ zNd#M`c;{F+hRmC#W2|bqW-lx|CY~qKNSws>hxQsiQXFi>S_=E*8Yjn9yk@{4SCN2SzFLBM|7+VYnZX_%G5tB`- znRwN=wsRi|SkP&3=+^!q`!VOoCF^et}m?X{dN2sPnYu<#X~vmO9V} z;;0qS6Tij6Y5L=zIDTl#Uoqmfc2sg#3JfM7RFLqaqnjZYbxkg^uICYUfLtUKA^2tU z2hLl5q&2FMoR_y_VtP7yH*Tks;q^&2$lQE@JpyRQaEQzO?`V|W?iCEwBM2JZ^&Y@9 z{(Y-tz(%x@mk^gZJj$mD zA&c7}nXf`gCYpCMqivOj;psN95*LJzjti;E)Nm%0<#I2xIaJlF-Vl^^nVJ;KcOwc8Sr`{e`q8 zgycsFL;5F3V9o7T?^m-n)OrFAnavt78g8F8D2mNWgQrB~kG0lfc$Bqs5}ah>da2?S ztJJ?$UEpv0XEF;uuYbecOG;B8Q+2>`%N@BM```-76 zSGqFn=-{p(2>d7&h&S@;0qUq2{gC&3X;b_O1k2W-6cd9)wH!cdxlFeOxgv3K%QjRH zb*5D6gMG%>OUUp?FJN<+OWa^pSsPsCAq5{J%ykRKG>YA6kKs(!Sx}Z=^B*=QP*cR( z!Vx{)!@`qp&?uCHky3IkUo(ptt_8ybw#M{aBIK|H(6sXe;?`I|-zw^nN^Pc3c@WXq zA_>=CoRYAsff#88`O^F4AHay4nFk-{nh=#%!vo%c$uS$0S83dTqx=M#cH zsZfbjF4nAKeE#HP1EvS0fYR1?3N*4F5nx%kA-umB=0PbN=i*`b{t6ZA&xq?1S<)S0 z4d>GmVR*rqFTej{oPV?whn=R@S`8f+*S|KEPWJO(4WjQ(Zz*HCl(B^SZbh?5zwU3s zWd22r-#@s%G22JlpS0e^nM|zhw*W8zf&ZaMRgx>siL3o})r*xdK!f$UgzE0dg9LyD zeKC$vf8zNsr0rx5q$Ta9rWW|?C4eyQ?LDO@w;P`O!mse|JkLKQ@|KH? zVYBjDpHafeWxfWCKgFVZ35J+63u`r`XG!A5n8{h(xT(SM2Nzw9l&u?51P zDh!mv$St>1k=;-tb}hyRNs0f!>w2zYOtNfX@y zI!^G?0RE!|sKEDg&n=pygShSyT~uGJP_b%Iyj7z;k-Q&^x~celJql1<@W~Gbkh-c_ zD36y4QA0PLXuY1dzGiGOUZZ6f9NmS_i$kby+}fYLf3H32^#i_2XzZZPl@k?M&_d_jx1Zh5kkGnGi>_iQkT#E9BL9SgR+8MMQ(? z9c(AyDel;bd267&WO(l(qE2Q24Q}M_sRxCzw~6>H2C(MBccQxp=Gx=yci(;3NvWZ& zywdRGoM6EG7rUMqw?EPwtfaQ{!IO3MS05d{%YnpsXNoD=aYumz(-l0eW)Mux`7(Fzt%tK4 zHoUAuPCNEl!cky$0*q6EvlV~I9<-?;G8)vBD+2k;0fai+eY1Er zNH5Lj84fsJVBiI9ZrVR*2|t0# zihh)R_D`vrJG*<*6r6i`QE^dog_on)5=m^KyL4M*k2c$=>fEr81`iO$)>p zVE=uC_)wa{aZ7X%z`RI?EGiK$NC#h2d)eD5gY`(DQtG4hE+m!l9A?AkvzB`3oQdw3 zs#+~v=&>Fl!o}CpOK%(QlO1>)XxNQkTyffzwo^lxI&r`%I+%3(pasqg7IMI^P_8-% z?{X-yJRh*&z<8o`LhKbND)6T;+iU0J9*D8j3lb*LUM&eq2L;};S5%Rm&He(;b?s2f z|MJjQ43~o&lezZtN_OttDa^m&LP|c8* z$cADz@Xzb3`ax;8#)WHoQ@qaI(~`%az9DpVgBVXdDY9 z-W4#|?tW~9YF3*JiqS3Q^S5Xy97%{@JWfC4G#J5Av%8+z6JiGZzmGe~0tX8WG0<}Vo zXuV8-{v_c1T%3Mlb;Lk;)$ZJC<&##p?X|RO$U@7@9+vuO9RU226GiRjRW!UkD6@+L z$h`S-z-d)XtLugz*PWIaSqKVkGL7uCWY`WMC4#<9ESyT-3O7TVcXo{8_8*;p z5^v>=k+cSH9wi+i3L?xtbO}IdJ2sy9BQmkZGZapzk0o8ju{-V=0*|0nxXf#+&a|x# z$3D$ty|adQ44TJ7*29b#>1xl&L2|uzNILxJr2RVdCYd7ucVu>tKv0IOCowc%#&xUz$X8ZP0YM;yrGv)ISf~NM{@q(Bm}`mk7|M2kf6D zw%{l@;^Xh>zhgKJfwrj=(@YZSDT6u&pEwssrH1Xqr+Cv%%?mDp<`_S~rpJELW=U-n z;v?d1eaDKnO@%h%fnTU$78@653x%MN?Qd3Q<-e29q`foEnXK_vg(^Rdl4?o3?S?E> zt9;43!jgQd`B5eLD!mO)DwN;XmThA3cZ%8q(tUp2nPN!+;YT>tE;XW5>d4a!+b*1h zK1RCLH`m0x4)1IeKA&ylff$L{w2Xep3Wtf0*cVhwZY;f~82>RENn&C}jzmXCw>sV2 zZv>G>#@}vemeLrN@g|ot=Py5NRuoumC1Vh`x})lcv>%JGk+ialKQCsp9t$gS3A6@_Q~dT%H~)tvPwyI+|kg1{PQW; zuR=QpseeY81oKOEyJpJQRKT3<4tLx(SUaWi(RZ!&nwF(|i@*O7GNdWzj3mQ&b#!nf zueeQ{2>%`_DzNK8!?*seP@8T4MIcP)F^l>-!uv0!>K7GNDVFEzP1K5YML>t9GX13{ z0VI8i9l$h?*U0-hxFcz$aFbi6Sn~68o+R-cy`p)$Qqk9t77Oafr}aOI)MvAQy-qPRQYsn4)-67{Bh1ll02R|PZ37hFfLKS0+`aLegKTt zAdfBj$(JEh6i1!kcDGuQghQ~kdNCA&v(=h}MZl7XEG(p@sEDvM`@cTG%=YaA82|GD zc0HSL<8~RpXdcCm9q>=g?I3*+qTBGX`e@*s^cAdA7pLV$^sPSE2wZ3D;o!6n@;|E0 z8G3Bi2-#k*f+F)@cn6hJgDEo|EDfOR76o|wxeQl}Psg$;FiD@k_k2_0EfVFUIa(hE zGyRBNyZwVP%4376hS-F5puW~hcs|1NNbp)x;ItE*K&TUlfGFfc3@M;}sC4)1Iz~)N z9_t)jr8a+11oV&O{i`BowbVSaKwSJ6kM%m~ieR*KI>oc~$}cKf54Q+gSZ!p65B zo2R-wj*&KOsalj;!INtVAgCYWo7>xqBL`^nVkGxle-M$JDD$b9?KyRnyS*B=U&?H~ z4t4Ox4o4)BIhhRLEx&^`0j(xLFpt6Gu7yB3zjJ+-l-3GX>+_)(|1Ci~>#de?s^l|0 zOQ32=)xSW0$6t$1>aHy$GbO4!OD%&IN!j5BDaMOsM*Lj1k&lBO6HpLZU^DYyL>+`= zfyY@@_%2rhm){3{DQfhbCx4r)W}UqauP3e}G8Fd8 zMfh`enIzUuZO0RdzXnPJ8VzR9L+{hS3Q2@Ej8YQbd>(0Dj%$bb?y8YgaX8`5{{*}t z$X&?2$v+oQ+o7wFXy;SJq;M!3Un(`LHMSLZHN;?4<`4n;2G~~=wupkV8=T^g)LBnU z$Wbo~QYFB8Jbk#wk5&$GQeT?!^BQZ~#qi`&swwnQ)mb7}wjea1wfS)!;^MYAQ<+x$ zVKfIfjpY=xuXM=bi{mUBxC`CW#%7!R+bYDyesRr#U50S*dG*LL2&N8=e}sppcUPtVQ8;Jj@;& zyuJF3BBQkecp-Q8?Zx73K!N@b>rRo!>rG#> zh&HOQbvK)`37aJIsQ$kXPNn@s-#+NsY#6UFoHNqu+&qYxV1?*o#N-W(9_MP_cqj<1 z@K2n^jH{&}l)CSG8S&VGf5f%$_$(=@(IOR}<^64f)*FWz6r?#g1xzMTa)06(_kR)f zmqC*RapE(%4Kfrh)UBk()y1zI#0avjpN9T7C9@J1yXl%rKyDX(CiM2}zIPe0bo4lM z|B9Mr#3j2EMgFgN@ymM4^ZUPFK{*w38G^K@cUv5oa>evbta@MaC7~)cl{BHrhC-yRf)`5xvF`;GxfHAr9eKfWK z2cL36kc!gipVPGU_+W--m-Dq#*QPrCU%$4iJi+I5v+>m`qg}gCvwjXtr@>`HMfTrM z;qwuc`TmEnbwkFhhR&0k8uRi*v-v=NZV$>FQBrRc#`oGZt z&r*9#alvy$5cmfnt0;1cvZ%(0W#>frb~^T<)W6kmry>IFX5_OYfr5dvL~0mY!To^MG3ZMBH=rpcU^p(t=AQYblL}-C74l z%|xL=ImlI`)cm$lRVQ|tcC~Xd%g>vRzfr#jF#W7GQWmL~%!`et4U4KyWs@uGMD^5U zAg-LW=}^kdYfN8WR1}>Dd077#RhF$n^vElj(&7F$rOP-sUC<13AX4p_5kmHqdW8!> z0KW87%>nVHKb2{Pd3*h-M*D)BoZe#%`G;Wakt_%U82k3^O1Y02hrb5LYQ^)O zn3pqqb8`$5($NFOW8Z)$F~7FOp4%MZsoU7a2R80>?UFtKI*j)a=x-?b7w* zLdN&o%so{(Ku-08O;{T?tpD?+o~+olY=+vV^sohZJ3FSRSyJ!$F`cGtAGjMyB>Wry zXy;NP@&@I8|8{8Jxsg@%KKX|lGa@aL2pOsd0PFP}?qVE*a0wte-X{xN(4An-q5V^f zu|kYNjAA~k;{^F2Yj?zTB5Zx%Xd4IJiUD-2K@3M^p|W|N7K8~DrBEH(p>B#B(#lgQ z-u@h)=9ZspqFg6gG+9O!Z^2)DMz2J}x+K{`6HPts;gr?f5*)TDxl%&ydN-u>UtLBr zo5xG$$(!|miFf;Zu2!Z(^YajPZaOfqom?mT@9}#{a^-+#%&p7)fPU%$!zijk4kL>P zp}grA8egGcPy7lMg~(6*E*hmd&C065iY@i|vU#nKYa91JLi@GvXzcwp^358u6U|;T zHum?YE25>)_3pl8VEZfl(cSY&ts8LaK6^zL8|ek1RF3N%Qic)uN0Xi(i1}fDNAX@D z-0a1?m@%2JCx{gAFHj+BTCQZT*MNjwRz4s@wX10uGsJwBp)SS~ZXhKB7E%g3Wb?VU zs+M?*8)(z)Fh?rJEC8SHFT!(~V4$W5B+H2~$kuTp;Jk$!9(3>~s+byPrmKNy)E><@ zK0s5<0V?*A0I&5C<@#=^#5SCva5Ls}b~(%^zutRKE;KaT!!J%vSt_Y_eTs|&dsr;I zyS{|JgEkxSksD|clb<0g>os3HXex9wpgzDqlxjwQ-g8Eo`{@0`eP#CxO1awteccv& z=0m0M#=XK_yO-OFtpGNNa(Od*F3V`6LA}DI>o;>(cHf1)(wTv!2`M?W1yq#w?@7fk z3E9P{t>(0;0aI0!6#<1fMR}CeqL)l~H{RlSizFA5_GwKKJZz2ezk8KX)j#t8@SMQ( z-3b-l*!Wgm9nc)l)CYm&XM#^PM$ZruC!iZ@C)6P`&ET;{MjL`^l1;>sS$zjEq2E}V zfO^P(5NH>Fwt1uw=giH$-`lxY`vNXLblSwVnI)pB_jQ5rpj~#i+AhSE|A92X~z;$Ym+<~h3U^n zxRGgqvUvL&0b!2A;L*NxF)~y8d`3&8T0uSl_gm#EMhVIJQ%S(%k8p+@;cK?a9xbbD zlkVTlFSs22v8Zj43X5UOa8HczYYe@UdA1kbqufEz^SYsaTIac@R6>O;Ds=s-X9n3& zNZRiqFK@WF64m)sFgQNMH<=?Y~ z!_cEG-*ynt$^dB0yu10N zmJs=Gjxxbb5fX&1bK*v{L@)bADAE$bkE<;wKn4~J%&6gWX@Px^CK6Gxr=jLBH@Ed^ zHN$%A?as z^mk4Hk-`Mf8@W@L%JB}nXQ0Mu^tfVpnV!e71TbFD)JN7LhHpH&w+K7Ugi|;`^P!|1 zVU9t`wr_{cL7%&h7Uoe}d~$nB=xTk{@4 zypiz1YJ4A~-MS1$lYa)Bf%-e&vA#!p|0LkA2|cM%rVc9Hyn;c4V#z~pRgBX=JRxo# zN!!soN9vNBqXg@R()sAyv7n*4Z>0V9LF;MO&&0hIzl&xVa;RFsNaRA%I8U_K_@_8P z`ae=Rstkhga=nZSCDZX$ko8xbchWQI1P=CItJ-P|KasiI!@d8Syvq}Y06+L>hJ7gE zB}j96B(0C_>?pn}eUoa#P~7KaNsl9A6(P`ER^B4_}%8FfPF-YYosbUFk=SGtu&@80n5XwVFgS zGxh9LzGCju6Oi$$NOs)xU(YdtHSr_^q!X89-sgQFM@EQbi@ru|eMQInQ?wSkL()^; zT~Bl+F#iSzyc+Nz3Wx zc8-tk3&}FQxFiwSwpR0Fu4Ffcj@RTCnKU?9Ix<@-GIloh;KG$Zb|=)lsyQKoxX^36C|_jaZ_SYKX#D9-=AKkT zQivr=FozfW_V1M zEqJD|%_Eftm3=Ut#72D3Uv>pF8_l@|OX9F9KZ%0LP#F+&z%+O`dHfgUn z*Gcy?`6%#n9c<7&MF&yq(;pfGwj}vtci@#OdkXck|K{Gp9Ay@DKI{=BEeO4iCkp$AI~YN>v5QD=VV2@KV{18E~K6%Ffwq zMcc@wQSl7^`6*5#>ys!jfIymAzwbFE&@QV4vYO2W{b;Q5q?G6<%>e(yil~DN zAEl_}HoW!>0*isr7O+D#1(dkYEskY6Tqd;bViGMti;{*0>pqq0T1|htQl1hr-K*RN zz!Y~g9@jteu%~xDUJ7Iis=O3c-RGiC5ERWj-H%dfC~28y)7ydE4`lBp$1@~}TRD}j zrFuGYIpW0U%ls818|mqHiUzNW+McyG+rNvLTF;~58bA)LA?05ULqp1UDzfy_4oZLR z>Vs4}Sz`6%sQ`Hq7yr1abZ3MIW_}L%GdQo*}Rhj}5X|Rspa}|mz zvpx7D=n6MhgP?#sG-8LP7nC#P66UH;tV*zho z{vmYKW~|%56adifc2-%_ADE45QD$~>qlI9RF(vghQ^QI<#KRK1C`iX-u0|QR18+Vz zCxHOLJ#|bqFRob64l-ilk7~{;AgnL@Q~=6p^Kg|VaLo&BPTrMCe89O{ir|@a7`2(^ zr957fK)U*aznC*Yym`2gOqy(&(hDNvFQ4?&vEAi4<2m90KY_SpHcqncI$?eQfyit-xN%X`}y zhg@&%pi($thW{Zqvm-Z<$?c2hD+a+_y`+81 z7AXVPJq%jo{X4p6dN#csc>FS+^sQe*Jv^hOhn&48c!`|v7T_50&1#67{pt^+U!+||$^0UQjEiFOBkz~@z zj|jrGr?qA4r_!PQcJ4*5&8@2+v4f|YVJc@+Yg(fLVydfOyR^B*HwrOA+OSmrZP(@J zXaf(%Gra0)-X|VnA1SqyK67t}cEiI50%O{^g2%_Pk+`E}#MWBk#bsWdqcUW%@Vuvk z1683BI9DTGg*%eYm?4C>1&k7HWCN`y=#FkmvU|n72zm#MwQc-mXn22xKfd|=k2t0 zxTCIXSnksh+`NQny{zXw zPjt5r*ywxSADW=8D$BQw;Ci_4ZuusC-oJ%5dll+_c?oNfZwX3L{ACi-3~?ZI|}rS zbd!Ddji(&~qtvED&I>C6PdrJ+;UK+Q5a18sXH$@- zjt4u+_5KE{nfsMEu~i`apf)$IiAh51m0T9GzeHtt@3U~tj3c1>I`m-t08D`;eF0=e zgka0r7K1rTOkG=6CrLr9?U5%WU^%S_0;QvlHft`;d{?BUB4fP@+f_mdQcoe{krN(D z)O96dTJg(Pyu}uL*h)cYsn#G!gz|hA07(Sd4fU_4rd&9l{r+Za_%wYafI7-{kPzl${!MG5 z9_{hv_jwR^XrarLS(eo|kGL`!G*w#BSAsgu`o39jpp!rHNk-dT9BOH@kpX8hVPiRY z&i#EjHkdr!KXy&HuLc!Sf^_R!CYL68f9MX2fYOBGc86u!E=Itug@GUKV1i%VqUg4% zW}zn)_ROT|c2sGbb=|D(Rd2L;gXo_ZUWH#|qe{k{Wh5Gji$j02 z2_Lu{_SwecH=f-Ws;&jX#4fMfJLd>mc7)jKKq0D#IcBrju>n+HZ13wg>ddxr>XTtG zWjB8om9}g%NLUX5G30+9cGs^*HK~WWOM6{)7<=CH$=qiH2Rl(wrykR}AI^WvYo~G4 zzSzaAmR=klL*{)DqaR=O`!w_ge?g#)C()+lJ6d$wA(E5Dj9Aq!YJpzsogp}qV#j(6 ztt7dc<7%`cGbCV5;Y{jO4@oVL60H2JhKrC&)q>x^Z2*>WT~dcCGtXnY3~T3~<(J8ncieUhn^lI0xeT?wD7$|? zy1!hQh7i{~DtM8@C=;XrwQSnYt`VIa-{Ai?B5m0781T+CXDZLdBXpRSPX#OAo79Jl zKQ{FNDlfr%y|K;meU%OIN?8-&aNJ**3ZUODtt!wrfBY)&pr<4v=`Lrz@$c(9`pk{WRi3ABwuU$v<`!m^V zTcNJ_c+q1-+5TkZAA7CYYMOeokCq`D!is^y>Vz>YHIS!FViRRuL*kSUT(0As#1vZ* z*+SAzCWCY|nC+ zxxHi&yh!&bYs=?n{!KK>Q!rhPhJus9cpzWDdBYl}yRatZfa=xp2C=j!0{bzOm6V1f zZFK5tuH-_<_{nmjTic)Jg(c=(d4cdHC(CF6P&ED#8n?|^h^R;lu*pLjczXwN52I3~q?l9T1Uh#wvo(K8p1IZMew zeM)XJ`@6Zr`IVZB4fr^JlDM>x$Jb!NSW+3BT8hVU_Q#{6BS14M0cp+qi0c4m?m9|w z_#kd;)XY22KikpDBT}p+j?utDQG6hz=dU(NQiwp#NyIN^E|IPxmZq9lcu@CC2=6=e zaDxJN45zb|y*1KyzWc}=iqaoI3l>1F(4)zf$`#hi-#u>abcZRn*pA z1G8c>h)?`Ej#K;PR|xOY|hsj{v#D{FmV7_+Na-mt!S8ym8*rYP%S0w%&6zc*7| zb9`kcOD;sv(N@Uc7KT7x+*t{40m59VV;EQD`F#6hiAv}h!*VB~JiPo5qrI(Ao3PZ7 z@aFYX8MQUBu7qz65G|5|IPL4{E`|g=sc^>HL_0U*$ubi6{6xU*OUw{ty)5$gl-d>0 z96(Mm8qGL9J;lYqD9T!;_BU&6=x`2@U`xh<`uM>c8<9k^qU(|?=%V`!kgBcoVY0l= zXXTL3mX>tdG@|Z**)whWTTu#u-ScR?Ip$h7`4vd62IPZNgPPl+Gn9?2w<4sP@?^AK zf~O-*SQ<*o3bQsj-?L|ce>;v*i!}(PLoF8@EQU5DB!r8b8ygGDplLQ0Aub`o>-G8e z{rmS_7xe3GZ10w&$ke)_tpu-1TBb22w)_Co9z+Ja9{;%U3S zl5`rO@H31}{d9sCr^PEqfZ^Ig!sEe3;NfcPG%nY>fn*c8T2YnbW4-sGqEo}lR8)~= zM=F1;9gF)BPpk3?o1_#xaxsyM^5;QYP8@46E^7{42YH5^GIH@zw=__M^r zf_dZ;Qpq3loOhSQzzSMgJZ?{xLs6&?#-*RiSWPE#fsw?71Qf_X!>k{m3pq>aQsF%O zLk556;z6cd*=X9b&S9|A*UwsCT;P+=Wro!JCs~^ZQSE}D&W}Hq+z6Vm$mySLO0sEv zb3;pW*2#x*;hN}h))|Dg2t8=EM@M~crBa2~KW#Fr_iZ%dhCrK)2zw*o;J}Qhtx}QL z5@l-U!a;$#zL*(<45?~I7$;JOh557E!gj8~1PR$@B0LQ8wc>~02;zo==pxPOWm;cc zv)?Ns9G@nkBNol+bm>7#szEsfi0S7)o%PWH=CV!jJv2@4+y1r%*%-dCBlDd{O;KMj zqq*aFg0jC8GgFrnI)>}oAqF^gK+2pCLmWIcav{REFJ$U#CJ@TBTb1?NOa4_Je8&$H z>;Vk@)?>+!#zPJn$4q`17vQP`G0JM*OcSR$dwq)IeAT|vrHu(r(z)NsqOhD##=^s< zP=rWL`;%Xiccod$KaB+9dhPA)kwuH1EDJJ$USA%&pmS~JKzqexh2%oTNq&H|UFGhP zcPaUBKamqbda}=Cv52+iPMY4eZLXSU!sUBZIHp$f!}$LGx*oPqNN-0aOw&D7pnHqV zB{voqX*y|3g9`It#Zc3|*{XcL)`@n{%2f$FEtsGC%@(O{w5RWTF83Y73>KL7xce?F zGC{rm4jUH_1p4q-XV_o3EZe@n3B4}AvEFA>vAhNyr&@b6IUN;oCxYFc)}*p74456o zDxEfb+MWG8&1Dr5xNK6~Tk@Gly^fMcgfb3N+WKiwp7tvYOQy6cZo8#9Iq&(tI!h$) zRlqScZb&2r=1;M#5Ex;q{6D$1-;9%(jO5LL*LrQZ$+RyAmQCJcH*r%hBK0`YBlE8A z^{iGt5vp{gKK zv$$AuH&~MK{;an+8^cUm*MC6P{|r>?Rd}zp=3vXABlK}%UcMtsy!!c<76tfMakQdw z;^Be|a@6rGG0xgGEPV?>t4l$v=Zm@ay!RXX1=C)jra!lf3t^A@dgDlj7236L+2XB>PHNV^z zOOUbW8GqvYr=su$1?~-?*S!Vxa!>g)HB${eB}3bj@FH;YXW;UPT_Z7;)!Yv5hjMHv zE(hu@5eRQ9FZ#s6VcPZSr7fftXbqjyRD1H&!PYHY*N)|zt5VMEf;0Xw6YHD$v*NZp&%%Zl|Kg*C%%(JVn#Fo0YO?dE^%#1v zfeRr=^WtBnmcQJ`|Br9^pUWkrcN(l*Km&L zQW?!ndh`BaJ?ThQt!B&EO-|cl$(j9^FXTZ>ReCAMcIqDjHN8+rwWTDM&aS#tC3a|Q zYSV9D3NDQn*|ZVsy4_285Y`g=XFy|}1E^F2?_*~^r0Y&3Nda9j$`>a!4}QXJ(OnO= z>_zW@$RoOzo%gn!A)b}{=8|dPi`^B^pIIo^5PW<@OOgV%>nduyX?WAxLb_lKGVh^u zIpH!#e8U<2EYH-!-|^PnbkkPU(sJm=to~xW9ZlN*C$w+oQ9;-;G&eV&&Q%i9(3FWZ z3+H@+f?BN56;M!^`sD#XbaF!tTctF|BT!nA3eW1UfPg7iI0dTs==R&Qofp_I%FtQQ zPo<3dI5OX`H>_+-LtnwlQdCh0V(M}3k1_1(O|DjMN}EIXI`?@-ESG$?Tlt`g8)ylu zE5sl~K&kEE4`ir-4?u?E=**>;PoNE;e>uR1s$*r6U93;6ZWrNWGrHraBHa!R4?R1O zq*BeUZVjRk^d$F4)ImSDB~fb9F=nHg+l>F6RR3+Z_dTp`6uAm8b`^K2Vm6!jf88{U zu_5*z7?Ho+9o;>5Nwr(-LH*R80vF zqL=ByfTv^W+X4^#h6d)lJ*`AWE`f7t-DVXc3uFZhp1|h&PZ=7hNnJ2611}~o{!~?^ zCD}!4Q~A?c>=g@+nXKwflkeUD3i!WL3W+wf^&20^vGB$Rf_k>0+J!#i`VXQDlpayw zcM;S;LgTuwpTTrBeY5e^^+rOi>g%b3R0!=0jE5`f;jylB*rolOLg(m}CAo*;uzw9p z9;r?b7z4IZYYo`hkX8%lh>4540cWWX4iB%vSz=>jgCl{1T)vNL2833bYTpS-{wXBP zgzA-j4=96yLc+bU?U^Tr)~efVd;O zYGo`LuprxXc~5V!c$c`E>7A`XMH_14;(W6!VO*8R=?IWfgR=jM&}M%lE`xTbB6MY1 z<4qK{=p@guINBPFt|pxmlPYmi-E!+cAc4=zI(H__7*3qgStJt9R!>kFxB@H@-sqPy zQIEoGIybvX{Rt;6P=8S%dG^qT|G}U=87SiifP$evmQ?*vrNzZoRdpT@M>`U}UZ%7V zJ~pbpPiUL_wS!LyVe`wd&Fg_Dkv5qjg{iz$=u6yyA+?WN=P*i(IA5{s)C5h7XMI+k z5?(Z4@w6zWYm0gliI-}lm3E$>tfI2I`bfgbRQrGT+~57EotWNI1&P&Je&Qi1D4nFL zPzSC7i_{e0Uqi-dTHJ5J;c7qh^}W*GQ%tKjp?D?hY6rh_tR*v+a9-&ig5#U~kI_qj zKG~2#RY&I+sAs1&kM0vVW3y+%3-IceU)!C9ips*qnizxQ@7~DZug-tvL~&r79gAmR3F(0W^j~*L%PJ5Iz{I^jjRH7Kfccl4T zH0aU)s*Iy|4iwX`)41>8rlxK>^*QdT?4mTu`nR*pe8chxTIsvgbABZHND-e+foz+r5zN0gZ+LMF7!%+9{XAlRR?6k*<2ni2WonlX> zSTi39Xg=+>&>iEYERGvfkH6@49jD6GgmgQ+^>OHcy-;*|^#1S5!ApgUm3|{bwwiK4 z>6lNO<%#Dge9c!Xbg;xImHSP9rQirPGt1f}#eA zL6F8@QVOT0{-~m!x#B=}$`So%;5%Z%)8t7Z?XKX6$8H~H8LQuf6H>ylI;xn{V`AiW zPuh{Vz_M^2H2MjAX3MdF)xlATNbc9}Jjo1~v?Zq38ciMII5UGSFZOQlr3Otn>9cXi zjILkT62?iutrOZgD=di?9~8)Ku7ri_H=lm4cCF}|9tL(a{>ZrSx0Ot!eR-rI8SRdN zJKv^BYYH>pJv-smy=699(7hDPwZrF$M5mdnKpBw$wv0y&qW*24O2AtPNeo8JFcnGN zALdytFGE?-&8PZYA1)#wY+7cCN*uyo@U!@+m6h*=WxRP!ZYJ(pb-Q(4sWD3N&I6~Y zSN+P*cd#xcsvkFwUdW{R2=NppszYC_kGnNje!qY3>b@+0%)^TvH7!d^9I^6!w9)e} zCl;zM|AalI^|-f7(OlXesdkV8lfRBc@_DO|_b8_0!Vlwk5n8RyA;9&*|NQ^>1;vXi z`g-QGedOcqdZs{Vr`_qpt~JbytEu(N{3@??p?%by4)>R|4JRX?RLr}_p?3C zVdn5BfC7FGRH=SBjB*1L4)=POoJmZ$p$T|o*xS=&Y=NvV5#=a)8?WmHjBCsSSia~y zpLu^Qhtl0I<0rnci~rMmI=)SAHU%-JrIQYxe#-$DL}lYWVq@(NEs%*MZFM&Cm+@kE zxJ8>yoEr^W-HS`3m>5vcOJwzHf6C8oghc zTT91qEpS+Ym+?z1s{y%_7qq|EOZri-F~qaZjZG)RLl-i^1)Lg*aaBli_pRx2Y4cwx zts*pHW!9NoLY|~rF09M*ZXc>)D@#+TPV}w;Er{Hyk2-MGd%X^Ix9a;rsB=C34njm} z?^WV_pa>c)CGc*DBs}@8T==F-ZGyxgL|C5Oi+Istf229`8iT{x#hKYA<@Ei7m~z1o zciR{-cl@3b)fPArsFudnT*1Fc2wzh4{x%Os7ewDiP324ToxcR!vdzsBsBsprP*3= zsv}`aJphuD0%h`l_-_MBpPBWcI0{6~(Iw2|c2_v`Hc3)qhQ$*#0rO@1- zCS0rAM0;C9hNz7p#!B>@v-X0B1;%CE?ww35V`}$S=d_^Pk(W`|sYbt(ULt*S>C4ee zR{^=Jo~`QWmCIlPH6*rxqt>U?$Kl<-!gs|o+h2McxB#y`l=xe7I>E)M{}5A~Nesz~ za-R?XPzogf8Jk$~al>)(IK#j<7Ycpnfnyegf&=8n&-n1a^8FX;9Xo>-wGH_H!w^R8E6722uT&zZ_9%(O39z)$>|j z@6F5U^NE;G?8!I~f)Sc2(b3xabN;IuN|R-NI~i>!+z)#>Gcz->BvJ^F{sN9>pW^?& zepDV6wJk$u;Udt43N1u=%ZL9x-sqVB^hkPm1fHndAM$}1BR#fUEzh=w?_ul|RcEh~ z`gF}Dj@m@+99k1debjzp8c%rYLzXX@CC*S@f+4ohC@3<;dMBIj^pBKY-Ra2a@`Xc} zmip79SCjN~*`@}C`*h@Q$ya71o{DZAxM!5&Wa%$8*jl)8Inc>~=)gCXW#a;C9Ejp> zYtg%`r?b7h;(P-0D~$p;g+u}8Guw79E)yo421zyEmuFq^o@Ms zL&>4(xJNeKn~6Q@DB4%s{;Xzhp5nCWto(*U&EFb~e=6Ij52jlWROpT0ojKaZ;_kcG z<=czoLr)wCzG~p6JiFR;3bt~u3MRZe8rEo~=-c-YB2K1up0Y3cuIjH} zceT$?`BW|zU4z;>uZj)|oxSjbsJiQ{cOKXmn0WF6a=t!{bLY&UP`uDTx3H?|m5U&9 z!$R@i^I?@U@EKCR0l~L^8<3co$9c8ykY8IimN2Y+y;qj@Gr^kfj88LO*#881*GWJR z89Z0d0|Y(eH<|kmwpM9qf9PUk}3?#CNg@3K3T89Gfh8c=e>|GnB75(ta&EL zq5A+%_SBh3ntx-Qz%-#QvV&4Nd2T8z@hx}i3qWa^4PNK*OpVzDOol%Xr#%x7y!PE& zpT_dZs&+$pSTZ$3$cilSfujMsd54$HtwhY z8FfaQ`6i@Ph%hB`B>gfscJIi-%>wm&&fcrVOR@!dTDxhD9jS@Fc$lwSBf}kG4HITb zX1xtbFYA6N-yE-gt61;EiJZ=^8-WX({zI9>Ro@F{HHuhCfiKrD8$80v=320{FU*&d)z%8!!#Z0D_C@IE74p>uwocb`5LKLPvyvQ* z&-Lpn|AY}0ufz*&3Zw1)XZ<&_#ajs%L2h-7J>U)Q(Dn0T2EG+I&^aE_EySv&vbTni z@k&|3)I>}x$a;b+6`AV=qD8_e%D%Hrh-q)UvZxm~z{s6(6qWY1Kv zYDsF5?06=M3O$8mBL~mIe3y9nYx+m(hvspYA;@x2*r=C}GGtd{(k)ZZpKKD72hP|x&c9{p?ub*mQ{vYDm=UAaCsqyk3OBpm^ zL_n-Z`VVomQ+5h>1Z0TlU9iJnh4gnu$qEe{fDDG`t^FV5zkXAg8d)o&eKS4VIK#6y zE&Qx$Xai&a;f2Fxi6x`V;6jr$jM4;vPU7Ak|+FmDh4 zAcUait6-InxQA9d7cPTMtMRucQ;U%@pDZw~k1Xg$?cl}>6@LGvhC)$ubKFDkdll=U z{xUq=h4@*=xKDyqP@>Ccx?Na$vDaDNG9i)hpeqKc97(;b>OQm9jCX-{!SUR&IcK(J zzI(xOn08{a)4|NRQo-QvOi^uW>Eq0Jk)v_t=g+{tTqIJ9{a+y&%|4BP!qZT-kLUO;6+GTjjiHZJAK=#BiYs%Ut~^l+G}^ zV%)P>Fl!NJ&!uJaWOI^mk8_x#opO^#4fVi9pkkLH@)20;r2k%I_k$`9j?(FsDrwVFVE&-62Ao2o?ZK>uo_rn8CZ(0uaA*XJ$}S( zownx{9n!haX2A_ESlb2fby2vcdXMZ_A5B}cOjhA<{m>OdYcV-N27N!=zJoC^-okgm z2po3xc|T{mAvk>YbG#h#TaZCpWgKnp922S->s1IJ+%RACja0rc3{1|7%uGjJ;U=*D zg5jyD&P!`(hFk16f^U(0LJhl3qi2Xrgyi>vA@;4y$6YWiJqgO~C?&EDC$`p_+tU6> zPMNS8UJ&qGbSO3sG*R31U`dD7{MkV-G#p2vbRkBsKUyE5PNsUplrNW3UWCwu7jW(< z9{joQo%ZWTe92(H!&Xp#tDhm#c5&H|<)WZzKju}rWkzWW^uL7YLsiuDy|oGxaOHTy zuJEKzpz3*2t{^GQ^w9lL0{w&$!~sFWbNUT5MmfF_nM2>80`3S_*1WzcPi9tQci%z7 zo!$|(ie*ujg*A*x#QUZIv>%qJF|D%dsw+yMv3Ph|PDE{NJ)rnJ})K)*eK6W6AXwy>2JbJ`06!gbO@6kL8VV(vu;Ifwbv{JK@!{ zgf=i^EAOTk>C(n-ahAf{sM`#}9WlnIeQyk6wS*VE?MLMxJ{aj7!Q}b!bqjA1mGVh; zZnKr`ZMV@Fk&l}7!(EnyPaVl53`yS~ClR)f_?+*1+j>XO3!_vTJ^0{QW@RIGU}7@S zfr%mLKO_7stE;bL@sv9^u$X88N;UpEb%YF*<1XL@a2t_}J(3`k&N|b%(@@HAS>|k> zuai4#CEyp}-13AcZ-x@*aURpF*~V#eJ0&0=ZaL82U!FF>fn%jZ8MqF;n<3RMbl_GKen_cznc$ zD39BdgNt8`z0AF=9Q6GtV0hf~!apkVIMh}O68!5XL^E~a&;CnB7eW-YOir*!O96R+ zg&uF(hH~2+{GYy}+IPUgnYwLf?;Z#fcA;S5iq_VK8_E5X_ZiN}`*T}D<-<0-FQgRL zkUM51S1|hI`P1aw*DqO921|nPJByf8b=9YA#X%~W73&5752vnO9L*vgmu|EjT5#HE z+!OXjy>gH%>H~MU{v8v3T=%06^7Aj*nP9lVxwAN^F~w(Crxh3!Go+jiQ zO*tmP#xmz*;V4pf9>?dD_0;6GEY;-Iffd!fUqQ{^RmzeKy5Nv^J!xfQ-IHqTT_9q8 zCd>GZ$-%TbR~(g<2eQ^&xLvY~tG{YZaU4Xw>PZ}Cj>~cN-7@|JOeTU=R7ZVhw9s*2 zCY(m)(!RT?sX${|DJ02>L?Qe00PeEEBbnm2x)QEz39k)taOx(T>$zA{vvxS!n_Y0^ zXZgJs{=TCD4MAJ|)%5A4Q`wFvA9tx0C(4N+d(EzqUsnl~BrHBX2TcBUsQejk{FcxQ zt>bwi6ego*_LM)D_-3zBpH766f{M0`R z4GbiHLL26vnV3@=rzlcN1$~;8B}H(kWij7xm8+3WGF}<5d-7Gaej43~fxEHl7>HN7 zXI65CR!PoTF^~7jdv8~W^ z;0?TA<&PZupHz|Pe;&4=By_AkQo_4^SUvt>Pocn+Sl>z5-<_N@*MRvm&r^F*hZMM^ zMe<+aMTZGWRY`ngf9VX5oXM<9B)~mx*T8Ago!E?0g60!r-!S2`&f-O|zy-Lh!hRjK z#g1fR@@Ui0lQX|)Rs)zU3k6h*fYxGarjl;c@=Z_Ye4FRid%2<>U@Gk^)wg2#-v$K! zPC<|Genzh_Lv`{SGxEt4cW=)6e!{|pg@U8rmF~(6f_-m+lU2QP`-TSlf}~<@{@bvwv*1C zrM5XUHjH6zIH1d!#WsRGv!hC2i81eOxcBXg?vmZ5^(LCR)fTJWSs@nJ0NP-rQh|Kx z!7p2$n`cXlR{v3(YQX~sj?N$1rW}8C##mUjse|tD1eS_$uF}tK)1Wv?e~+_i1Hl0r z2oAECb@H9v@LOaxZ?4G+39Q8Cy#;L4=*>n|l=_;wzRZ6yccEdiqG6#|PVd|RSXs+- z|LI0;5~w`0?!9YQSsg0%x}oW5$15$bl3${afMaQtYZ*51Zhl@&kV%W76@EvqIHVKo zVQYUPffUl_=4u$)-P2YcBv|h5R_B-sYTZdnZe*Ye!{Sndh~xoRhC1dS9obIzzTfKZ z3kb`p#K(1OY}s(Wknr+V$gxB~I)PcAZ@}_i&uudou+PaYH{m%xsUpj%$pvkA@Gm{W z2EJx)RtlldN_<+9;f=7zU+0p>62!LJ6yZjSu}^9T%*(9P$*h6R2g|?CgU{MSWZkC> zufW@D%$HvwP5y$v!5s{vQxYQ^D7CtR)nN+*cCtEMU0p|u^{0K&giNofl0eSa9~d-ERkDSz zqt1Lgg39KWVLFJ)x(i$wJ*T9y*jHUcPjvlBOx(JP(r0baqM{`Yi&N?=l35a0gPldn z8!^bKX>%;ezqNkl()+}fSaOBC$#6LZGakJEm_70mrRs8%@=I_&BPDs)k-dW^^0ZTC z6(h*EI*OEqb?bPqu{JT&>uSNHpv#o7>wnI8`)sA{v zf{V#a21aqAb;R)HNqcr1@uOUp+pHfN?03&2# zasU_`VB6dBGSe~(+7IB6nV;n#>D$5Fhz#Qepee)B%@NMGpyE|m!6d;T2WH?|&#y{Q zSUO#FAgMLLta!l5G3O8`H@&2AJWPAGbJg!& z!XAiTA_MxxVo?wfO4#?^q+EYL{B*Am74W7*#(yGdMQ)UsZ%4VCAqlbhL;mQu`;Dtr znFSvEfLl+ia6wkRODORGm@uHP)b@OA-Q&&dYY(jsI8;K?|F)aX1td%Z1XsJTRmPK- zW{HqH!sc^ndF9o$4Mh0g7L94n)Y)w3a*2(EwdoflOEHJMt^p!s>I+$?W!6n`NOwV@ zi;}1Xyca$^rB{!g4)3Btug0&Jm51wrX&OdN4P5(M^}TGMTIVLN znO_`VNZWd28R_jwULG}%V0`4>ljGX2b`1F6tx;2{1W2+|fK?d0w=mLsICRlP6i>~H zC0v0re=%~)u57Kt!V#0j2$YLhz=!BP>2AyHTQohjyJ z^o~HA?gz1d2_qAOqq1a_fMck5!S{Wb`QPj4o1eSQ zfJPcpl=l&lJ;e&54vF5cCt}MJ$|#cM5PGI}IVuj6QO+Blz>f!`9clN#_t5p;XydqF z_(Y-@5<3>EZyjuql&V=5#`a-wE~=>;m?jeL79bz-Anqn%In^mrE2hBi$sJyomgaBU zHZ>@6?}ak>ZWg`g67i^poo&K9?#Oc1d1b6>#vg89daO8PVo1qK;P2>#sJ6w2$)*Xq zusKmLfeJiBxWVq`h0Q63(pY!h&pd2b7ph#XY624VLROAM3s`^6TfkdZP;(}wtV&ePQH)UPAUJU3h2!NEixn;wVI&+S50tq!Juxq+~RUvRCsHc z*bDHv>i6YUtLu;O?Cw}0VjQZmFQM8ve!HGFe0gD^A=R?utRCCtuc#v4H`AS~-D)s$ zRCc{kZX}33PRhe)g5u85)k?UfZlCOw3sR6IG5WHYGm}gV1759u5bp$NP z$HRLp&S#1)^Sa25^(oydK-Ko;O(`8hr%*AOkGIMH;7@3310OoRh3Fc-K+`7XN=!X< zakWoYO2BB-L5w(*ix4=F-wuRrm867n3l)oeRfs4K&CC@|i6-*zAit;c2{}UC=oDJc z6oUEIN@u*mF$;Ab_dbxnL^ZBYzbEu4#(#8g{2#*%MjyeoHGT30tDA!)@>JvG1h`k?5mmzur2yu3XI zuZg2{wPb;>~T|{9V34;kP1dh>`Al z8Cm7hsN!0T;9UItLcILnz6%&-2)ZLGk1!UlXB)cxjCVH>ALDO5*zY|BcRHB@dXTNZ zo}`9b2DWb|FYH$bk@JaA`l)`t_3Pzpd7?m}?XYt0+DT$>NQ)oT4;G|}6%)Qheyqq( zvnQ=6eYxScoRfw@6^Y6j)9RhT)V61i+cJ*HoCj`Ypy`<4Q^HV(FCr+fsq09Bx2kUq zUr6>2RmAI-&Z_rjg$guS>Qqej#}XW?>#21M0T`R$0^EIV&o!S~8`@mnW3a8GVFO+Z z^}dVE)4f$Oe-hbv`))hn5jrM1OPTEO*h4P+z`s^jDQLi%Jy2u`;3zm6tIqf4b7jYC za}mCqOtANo#LMvxVJ44ZTv(2@aK9jO{pEj*Ei5G9@ zdeKi{4F+(qv6WR-IAd#R{+z(MtatS8o)t*!m8&7v+u=$R6RCz=M$iZq7DmPZ?;8DTQNG8{y@md={{4U zT4HyR`+st($mLEnuz=%^->1O7S}se(5hMn(DZ+GUktE)`Gt}i z1kvBd$fg}?S%VKha!=Jbr&&Htju~3Rv6vEz`#uAOX)|(k_s)Qf zywyedqzZ0QpJBLH0#dZB1va$Hsum=iaZna2yQE(ZELt^%_wyemox51rj>tO9zoc?tX0o(7Yz zKtGFlWAaNuzR-^HU>;gz0BQqR(!}Ex;UYO<;!qm5;sJ<^7xp$3hNgA-6Q4Qu))$b z5zgG_=#P-hA)RNJAC{Qsr@S5sX59D-ab9%t&cnTWS{`j)`E%=YIwkR0l+#I{4`#va zq+Jy0UaJ7kQ(%Q_uG3dEW3UeHWf>(YHB$j%Bv0qQtt7N zRVNguL+L4L^(oqaRR9y~79kM*sMn+;%`N-(Py3rAks09?)bI|l@L!?TG)4FZ_%m)_ z*_zw-dQuM!t4>;owb8ySWAR|$2}QlG+tntsnzcC)>n9)o)W+p8+dMMZ^e~e$le5Ul zrl7oVg^MIjPtRPt_fCtM&ECm%;Y+kF^Np=8U-RRV`54k`DRx4Tx&!gD`@JUeDDRx2Y)p1Cmqab%G#1nqO69X%SIbe&LGjODic#&z|rcso4cIW zPu5=4LjvYJ65`@YR4P0A`-6Kok&%(?0oC(0i`p(z?R?u$8}b z6#Mi+E3Z(L0&~ak>PHQEzQP|$G7fd3uyUqG;?Ptz%0+YYhb>4|TUV+4!l#s>B}{U2 z%+y#b!?hT{hXo9O>2VqkO_$-CJV~3%-?2UOx)4`(M|U&r#G^F#2j;Byj#25uD(R%$ zcT2yvZJqwC%pO7JL28}{!`0JdUJooGQzm%T0dstep!22E(^0s^`{74QkcL&s+##Hc zR*0xr==}7~&T| z#dhQel)-cs_=l%I8K>|A-)ECq&RAihdGM^Rtat&D4HDe`wtX1|Sm+XLii}!ma8CpS zDYJxeRL#OYO8q!sm#L%t{kzHdJ8Oa2Fq^;>_*N3B`)tJF?tco-YY#E)kUNXqGyy-` zP4+vZU+zS0jf_nIRz??Ie$;!{ad^%5g@<$@zSS$0qA|OuZYp)OZ5r~P$LGPQ)QI1c zUe9l=uX0lhf}I2P(=L{-px4+-BWY2txMpRqNYD0pF>cAxriz0L-}lbz!dT##B|XoR z&bsqs>#cTBbHjQh?WpR-X&!g4#X|Kye-^)IFjeHEK0x6T|3z!Lx6q1u<-hMA9r}v_ zRO<_HTf1W4GDyaJ=Jd6%ZkKlED zx{H)jZGKEyr{TwJ#TtmKx|QgESSetQLkxqlhN3} z;=zKEu|9>~t%~YWv)^)>OS#8#hv2ile4wwJao8Y@&II|Nh>*H!`)JM|3qJ}zsuS-b9;9pR17O_T z2=JUc)v=#EvC-0({Kj|Y+6vV;()K5pKWl)ousGYpJ?qf3;DR&d*#Y^FY1F)PbggiQ zDw~z%mBtMPViP)W`LruNec0|E%{hv0;|+TDjW^PM@fXX8Wrp%s#?(HR54lT+*&!()6><})Os46nX>-IlF?b;Nk1dzVvAuqsFc&n57zR56(vlw zOcTcgQ5wp{(2*I^)jW`YN|sz9nMdO|p+>1@S_^Yzd5p_pE0pSJIoLSiNAICIUvMAR z9po2yV`-^= zzL8FIo1XM1HuMLgUPi#iak_V$P|2QdZho$*wOK#gcQ22Rj##W$hA7HM_`U+U96FpM z_Htr|4Fva^e;L!CxSzJROEj*#WrNu zk&{Rol$g>~BnQtJJC!|9_51x*^Sfh9SbNNS@bz{V5_aSGe}u<)SX8UZJ8y+%;?TiJ=Q2X%4A#VCL`TP?4Lm)pQtJ9*XbZ&8w>aN zUr8BeR$QHLarZa~{s#v68JpXM@ns%(`x3^oh20n5QS)T?pWmrD)q9f+qiO{1jQQ87<}vM|cN zPEIf8`I~{>@U%q)ZX)h8GQKfJbE^S}?OzI-t1seaV}S=?W2 z+iQ90?Yx$EG4Xe+jb!ux%5Z&Sh0S(*A+KDoeBwgovBpy3!z#DN8-1vBaf!r>Wf=_DaPm@I*W(Gp zJmeF5!%#~hmxo9(#h}F28EXlGi|)P(;LGdC^KU^)(8t}3sPgg z=%otu&z13xun%Tf7i(n1BG?yi$kGCR_}o=fy1b4|Lz=VNdMv1wlUC(j$$zyG;e6kt z|CeF>B`2mH;GGOSh~ELq^?TBv)�}0oPV^)@+M7G=)W#SmqgxcDKy}T;YYEHk8++ z2Nj{E;!i&}E7=XR+6Y;vnM<2f)07b_2-EePC2<)= zs^fy2`N84Ah!7E07D2y3Qc4l43SmK&7`vHp1EE)U3`1pRg)!SmL?92?K4SzG`+V9NeKO+d`Le(*1A|Esi7LwEG2 zsaNr1@^$Cu(%rjDS8LPC;Cb^i)6Cs5pQhn+$!4W1>u+L-!7}QHKIM)p5{!2!gps&? zz3BosH=48TfP;+?&(BhPOqLWr_mKN5@Ee|Wct2sR-k`FXZCY^=`GbVjh-HDq0IX@@ zl2FayBP+a&*iwWB+?3)yiO)hCOck-LUjV-oOL;$Z$rv^CJY>e$jq$|1aEI)|f}nO` z45CxFVBv?drqb*NXE-F56L+I*waVdKXS?!X{&+fntRKjkH_dPAmcp<8*!t5B{2%3K zQxLymIsO-8;ctlhua^$og^joy*a0To1?V0V*5E%%+zvq!JdlSiMZdaGJPK*~%Y2af z8IKCxMY`mJ{T<^(=d-7{(LBO(26!6Sz#bK?xB^W6y`Cj)5a#m;CSU)1fQs)x)H(5Y zK`66EGtB(qU@73#aC{wp_Q4%T3++@LRxxy9;4k03MA9B!L+g#Z6MO*WePQiwRB->luZu4! z4a;o%e@l976f>U_L@EP9!{7%2IS(O1ok~}c4#U0ywi37=ZW8$Oy7lS2I*AtGmvNyq zcoe1VMVU=WautIqBK# zdSk&Had7F0>#!RB$6k~u)13iKfVM1_XSU}V*S7aGtn?L#*FpOyw*>+D-&#Bf-fo$J z(Wn_!$%K1gh2kMIrl| z0`@qMtQ($OZx<#egRTruWj`=fB0ne5!7$bv(5hFb)!AgTF;MB5pvVJP zCaZCk+->Yay}`x2bH#ZOH+i0e$cv+;NgdiCgnVCcE$z9P{?bz4I0j$`WwD}UMfyCs z$)Arcgs-mUF0UDyM|c|jRA=eUqlOtSMgYv7qaF9jRRllFRLT#4?;91dm@miI`gaJU zrlSIYr^hSY*yQ(}&R|=H)O&_m%SzqOlY$bHPQJaO6pHuTq!P?(b>NjOV7AT9&JHW^ z5}yDB54A+LaW7+vARGWYp*5Pb139MFi>5z?&wtPX6r%pHju3wW&IlDg86+THMIc?) zk7Qm``jVaZpFxxDlO{pk6V|fhFtcSYZl{uNS0QY)Qy|&%*rkR#rVWSp9iD&F0{=s^ zk_~SITXOH?At+iNz8X02p*qn>K={ruC&jw(wTOeRhI@7T>3x~!x`is{ zV!v6VUYxx>eDcVI{1Vd<8z>3#WI>fw(n`nGbQ%5C2B>}@VwQ_gD4 z_JuK-_^$`elkmAv{y*q5sE%<1@k$;ZmC+d1Y-DR-C8#7QtK>g)>ucRn%Tl9VmP4@W z`DWv+C=-u#vv>aRRJ%M|GN|~DPc4??WuGHKRn2f61(&_I`%;(D7a<~;9QD01Oz9zy z5YN$jdU>n9#_V0w{rs&mKMoDm@dq=<@rP&r`DALVR=U#~;bNThFFN#_yh%9Xi_(!z zM>mz2^y8doH~Xy#88gArVt6aid`#AbhSvcx5cYxnrpbSbqC}To|-H<2WAYxJjOUK>I|W`}w`Mg;YAQP?>zP0HpebKLDiU{W7*ji{ zmi9L+{_KvL?CYkuue;Zpzx~tA(wjKoy6Lt6$K=kQur`_*Rmg#Dmwb-=m$tCaVw^+pt0B`44NeirME27 z+~$p0*V0){j*(&oGe^18<}f=86h-YS5x0cK)&r|}>u;MIN}~qmk3yn{`Bfn;Nrjt< zlGi^S7FVI5Mlzl}M^W-Hi=&;abU}t<6Zi0sq2LWifntMNroP|(o{YsR+CkQpf5HR* zIrq4s`Lb#CfbS^EDtWSDCR`2HH;XZJ(L!@rZ}n8D`9K8pUZ77>!9IIuQA6T};`&G9 zZN3f68(6P;vx2yM;$wJA5KNXC9Q2nCvC9pT(npY2`kNSA#@|nLZe8g%Y(L^D1 zwpx=Y+Kg162L|@cm2pZ9Z3{gLb>Wk5*T(JcMdyOcI)1Iuq=1!3%7&m}ohhoqa*?C- z%eXq1G(S9Pnj}&uj$_hidLNdqdlIWnBwLhT&_DFxGJZxuhf+-syB_jvsRp^#m zVn{JOdA71I%$JF88$}T>b>tcft`S|P75$Ycam8UbYp~?$&+Y9bLR8S|<;n5bGs0Ow z7{vBoq8Ld1hD*f5Trl(&4^erzLyGumXM)K7n*|WUi+-#&EAZ-paA<(~UdnVk*JQu6 z@K+o&sF@YLiiWsp4B!-;R&v^~lYb}vd;lgnV{xAGDlN$Sgw zR89EEigv|7mJK}-L2Yh(?&|T?1Ds@U;x8qGxe_8F;5jtm zORn|5%+wvYl%K@XQBr*PNtXaKj?Fx2w_U24Fe?HayaQ}3#(hbgixmy5c~n$!_rjW2 z;hCA&%~$T|jg4h@FF|pW9|N1N9Iwf_qm>n5<8AE*A8%UF%=Uhkt^542zfEemu8~LX zz2?sbE<;LiTN6FEE`73eylx7dP!l-FGOYxWk4Bi{PoTqfNXEMR} z&qoIW^s`_;!hKMo56L0l@Ibfqg&s{(Z-uehvQrOUuD={~APX@(^n4h~Q1^y=!9Xpy zHrlpM|AFkPuT@S8>cnDMI`e-|H0m#Qdx+s-{E6&@W9j3tA`-wW@e&qka6 zcZYQ!C&lPrhI|M+j;w|1^S0qT9zT{Ko0b7=t9kWORK`vth^778aF1n!O#vsM71ALB zEnW(Hp6ljvD(%`|E=$nEe@{`^8&RE$zkM4Tlev#Nk%lnd!-+1YnxNq7>#Qr!jTy72 zo@$_`aeXcx1g#Pik-dpd9BroL1M5`a*NwvZVdAg?uDtw9s0X!PB_tuKY$50@DZP!&j$YX z8(Tc|;!GN#*fq*{{C57Z0jE-`C@&$siLb-ZHDATtNoRcV!g*oLm5^k1XUp^kv`{lV z$ow<5u6ai!iE(|yFQ{kea~MH&!OHh&N6O$j43*Z`NAMwF1yA%a<_LF|Smk%cM1JGV zvYY5DZc=3`m>p}I;;b?xOK!^0BTPoT8EUCO*1GT%-8rkj^@?w`aa6ln(GnTX#N#ZOSqbSvqvASc0Q*#y|0%i~arw4PpjCXz&j|-+zpgVi?mVqjo9OM~A3COxjOP zo#Szv{pTa68<_F(!yQjW{Xli-<)wj$B#~s^>s=RLu#mu5Mi6#WA2|R|MuKq}7#mqr zId2aD#+k1u)~Qv%Jx}wW?LKC*#AvuI0CPNm&jOP?bxR@5MmCzk`T|UYAfM?PuNLGNuZ4%W%bh}xA}oMKEjuifI7RRKD!u6#D+7s0o0*I zP2y-)n@>ria^{v#YR(uHy;V82ZWV3a;Np5(pTtBD;lR?}sX!}1OBqm2+IM|>t{`<3 zMxDAnPRh(24gLt6o6FcAr25-C+q3@h&R~C)Oc9KTMMbe+S1aHf^jVEaAFwbOhN#tA za(ZGCmI$GxLj00ymSgatYGyH%O#2)e__c%3J?);Dy_yWldAxjkxxb9n2ip=Y00R)4 z;ZnSqu%7urd}mb-m|fT;PCCz1eL=+{34zo6BlKV!pRVS|W>Da_q;&w)zjr^w-S+Om z`WqOvJAg(WV{jk@#G;kb@YG1^=3^P zS74Dg#Ym%AJC3I`uK&hVv2NG-0p>G(Rc`=?UezXNv=8O4HflHV_eU05o{0VOR3>;p zs$n))@py0&Wz~6)s+#ss=GRyprDi_dP*7O%d?iYmSkurbGess*^^Mc#afB@r^rxT$ ze>nb-_#5U&6$X{j{zHa(B*f8$RZL_ni))WTt@Vzk+|Eap-MiX*Q^4aiJ)B}406W8P zP8<#iufN!eU_4OFJM5OlY;e&qG~SBBmHrEMi#e+At%e2qo*By22{c8_McR1*$s47r z&L;AfdGk~E#1@cSuO>#@eE%HokoTj|rdxw%QQg&&xc_++&&9(I6v6wtZoRSNc(%(% zt+2UXq2)tv%`@-)xZaV~+8_5cs_-{DgN$+HCKVeL=P;#8EQQOKsF<_flTA23DMXqt zrhr`Me;G^PbgC%H^v&TcC3a0i1Kvt64L6kQkCddcw0KJHdMbr$7yF6|e{nj$b z^R?`q)tcJhZEnR)d}mxaXk%q_QkyS%_pDFBWBwqqJ}xP1D4$ix!G z|7gG%AJ^?8(+&g$j{mP}4q7jSbTAzp9Qa<3a}3223fOk{^ytr*sgO(~b)k+9_w#fV z?k4~E#}Qp$L8z3VwLI`WZbgrB%`4`1YR3C4UAyM^nBP5rHY&yO5$n1a#ok8QN-}8~ z7l&qo(D-!auHJ;w{!xA-YKAj&9THYrJ@cz>iK&2-1+*b@CZtbiZJp=Is%k`keN97e zxX9d34-@vkkbTb#Ks0Lz2{K~{|0&%6mT{e@^+IBPe*lUA|0botu}Nga%tShy?e%wO z6}1Pidl?^@`U;hGab&5YGZ$lfV26%R&H*1Y3(Mc_o3)K4A@c?csyYLMx9eRfN!f6( z=I>Wg$+|3NsMtz!*8k{gu!II42Ak*d{Cep2+S-H7Py&9FC*OU*EorPQh4B0ZODZ>R z^B)vOfa~K)wR$lZC7Fi`@Cb{Dcl+r33fAscO8YexN>!`df(^qf)=!3&CeKRLoeYn* zwggvA?+jONjX}9p z!Jpjf?^`d9M8_c**7Jv24BF}TFAo{IlpIB{xN|I~^w0Z*o8XfU4n70p`l9(n(qcb6 zPAQSU7#Mu)48C>l^lg_mH$QxPeNJI`hr|g!G09RF8`*7yUo2pF9e)II8t7tMJgr9P zza#Wsk!J`HiQ)bL1CEp^ycB`3*PMqG{6zOLQRV1bXfXtbNfW(6 zT`+u#D3Bs8nPK5UP&+2+d|L+VXMOx(>|<3(v%&$1U#R!uVY5Q$N;fHiIUQ2cb^v@a zf262*)Cgu7xZ9)tF`LKcZcgpMC;woxYOynWE9h2npc%bL0+{Dwj`qc%!)xe=;(ZFi zS?`2GMT3=KEDFvQ%;B5V4}Tk|gr30vg&?9SuC;9gR%!Pe=orT70Y>= z%Aav_IIjoy8(EJ?APdjwnbRnkw|D}nT)<8Q(dTaQ>&r0~^;X0UCiP1#UN(ds-99ly zWpg6y?tRnC4xdrZj#jW~sZtxgPF!9kbL_2TSauy@dn!7BI==9r{>$a(mpg%nJ&<=6 zg33yE5m><7yF&K97yW!n5j0#r?AWjCYj`DkYpmTKB0c{kkEjD~CA%XdMR*n5fi3

r`ny4xq%lstA zJ^S*B>!0I~_7a>NfNN-MZl+G6`F5Zsi@x6Ba+|}$a_pp&!_&bfX;NjxZe55Q0f8Sr z=}LT=hW*DURp1P_{jSrPr7p#YjhlN2sq?6;(xB@6eZIHyupr>FT5&f?HSL#Qn)`*f zS<%O%n!_+WBWaqW%8iXZgp%C$!X@Egma>KWNu7Ayb=z%i449>cwWW zY^9Cc6fyKN^fZN>5@0JYU1;sl7KLbn|8jKfml~AkZrOtTnC}vmrdnG$9}U_>iD^`7 z0IBK;2%EwSGF9z4hXmhhISY)|9mdEFf)barx)$l-vf_*erH_e30Ka@@v#P#*w6%ox z5opNA-hGsQl^PGkg97>Q%in;E^56Z&8Gcm*w!}VA1^UpU=B=SWNBzXa9{P0*|Bj;x z{AyIaxx0j|^_P6pf(Pz2L;nYOjha`y zFTkK+2rF0O7Nm%`U0B~?vL#l+>9hvWp1?;T8j*aC4!&RA!cki;oYC8FSE831;E;A& zKr=bH5xdAe{E0EHl!P0@{_(K=GH}89kzCSx65H%wrsto5m!spjZ^bwKF?SFsj#z%} zSE4>XKKJhNm6fND^dzL!DakHI$LZir<;wi6t=~fCYilY`Bfd^u3cQ{?d3;ZEOoRIQ z3v_>s-!-)VpQfla@$#o{8Tk&)0E-vCs{vrJsq5H!$DyUAW%>L9tc#7e=j@;yu=%Wq zgWYof?cqAg+0xW@mHoIRXLIwaaz5Sp{H4SCZiTSx;c-aL#hS^}xox?;I(^)I`6P$s z?PlyKDZ`cjd8c@C0Sl&1yLEdM(}%}&cBtJ&%eMJcqu~&b%b{>mz_H>lrT>SWo}qo< zX&n)|1zJxh#Q4t1TyD>UV!F`nMUY(S*T`0Jza;^_Yw%FnXY#tpQ2WI5f(4;rRuV;2 z{&kzb0u~tSHLWVU*&09V77OkVmB66c`->2olHUo(4xO-G+(u` z&ogEATi@630jBs*r(>k=I=_C_@83!YehC2eh~D)m;85Ow;bv63RmqOo$%Z#yT)0+^ z!4Fqn!SOYTP0bZguSrR|O2Y6fnhTA1Yj3Ku?)Hw4$C1P-h<4{39mDR@V}{CdaFWpf!}#llQkj8 zFQFx4%&9D=%!8vVfhir@xRJ7J!>|*hRMSGD3jS}zravFdJG~gr&F~xF6b=tq0$#~A zgN1gFu=fC8s4yaxW_!o7)pZt*(->uyc?;^l?H!TM?gGvlj}ZGovUCn%u7@AaK9X3T<2uv0$Gs^M+}T90K`_y zQHZVA6wasBK+fIBIlC5L*o<7y-AKZN9jgbvg{xeQ~CNMm>zS(wDyjyZr0A^Q_RDTnDOR97Cx3c_$24+z!Gs9L8$MR!|!U@ ziKh?7GfdU%kF6<>PxeEzDuwc93JD25?1pB=Lo{Iz&?}+g`-~hzjyYSVzO0eIN4b5u zR9|6p(hav+Wi08zh}6m_k1+(E^6|90WP&b=r(JqW4Bq5I6Ha7r>$9gkqrl z(>-qrHiB}kq*Xz)(T26BlZsAh+MxL-6YoP|?wE1I6#Z#p-h+6Dyn!CDv5+OU8!1$& zTBIsTEtO+npfp+hUc-OIAUDBx{nXf-h#~1M+Or(F`rg6bn6EKYHCe(ouVxDdH~4oR z`frUtdo$^C(0;u+#KFkU-GlutkM^m22yP*3htVC`N_NU7)AyO>)p>2l&61y_QU353 zC*iwCl*@BwJ=i8hJ{8`9S1mCZ%;zaC?@mKJf(NRCBaa zyP>?V+HC!%Y2d2@;#L^_U+vkOd}4S%uQ=ZTac`bMl)nlj9q39M_1(xfgOgvBaFzaQ zJF$b{kL(I45YFX-bVUuK0s3hKYJKYns+M-rFyUxSp?V;nrYD&>^6KeIO=v!@ zm=&Sqew|ObxAXz3XJ;kbypGlKos(7POw@brPfa48@-k14*yks-t7tm~B_4M9HuN>A zitobSbx&VgIb^lJjE5YzaR1&djsI$X5wd78r5>RuTvjTW1+FZ8pyo|e{dMSigs!oa z$?C@se|dx?JZt8;#+i`J?>NeAU)`#mDd!>W8}s6DB$B4qxEiEB+T#|6JXCC|sRz3B zRXp!$x?_7l0JdpK6*08Rc)W_XIMeZ76{&Fu}NLrybgzE#?uh+u0VU#5i6g5W@B zO_TqC`rF;xcI!fSOegR~wbYqPPQ(Mpfd*8auZe0*HSB$`T=SXT*w|=DM}i(CzH}cx zmf8Mil1WqCNw>#W)#T`bN2tKbjP{nbZv?uL1@)G>1i3l=5+j&~@J)@}|8V^ij@OKp z#xCr+U=k2x=L#3xI(8JYv@7#i>mW7Wio9Ocf>T@DeLQtH#lX*cel9Pe`j2VZX-2-E z1)IV=mkdcsZD>;>p+SQOIONr+rC-a!3U&^F1gbdt12{7vyaLWuC6?<2Qi3GbgulzR7sk7^5!a<-rt**XrwCN zb2kgB$EGk>0VDP6^Pc(6h^g*h$pzf@Yr#NO+c?m~kCh71JimaMX8k99-^!mCDj%f2 z*|t(vW6%tI!jiWXRLBZ0g==lJC{1+j!U5ft9%D@9-fW~cxog22F#4l%mL2D#N5(Oz zc+%A=gD)KWYtIK%)I|%J{mSWlmUnXPcT{t~rA{HB*JDF{1H}mj`rZfS{LL&yg(3GR z0)25T=BdWJx7;~1{ZXjf&WF|0**vqCh2Kj&$EM9;VGU1IdD+hpcLt+9ypu}FW;ZYwU+3L9xQz@`;Trp*0`Ng^$1f;?*G;FA#_FFnEz_<^XoEJ z%y&Y@Yb<~3N}J(bSmg9qCLaPHUU(lAn-GJ$drqHpc6;s?h<0mx*entkRiZZ@D2C{v zf&J$Wp)XdbK6QhTo|$}61F*=c;cF=UnS%?ldZ#dGSG(Ikt9J{dmj!g`O`cD>%o z#$2DZpUb8)>K9rvsngQZ`dnvhRsgzu3coP3{$}|3wSXghl8iq#>jAXb@KlJ#-1ZrYNKwl-sC|E3yl#`o{_uV$#2v1xx;>&Y1QISH`HIJgx&XfxZ9R{w_d)l?tJt}+v7+ct*ET1 zOs4m;dCG|Gcq&`I;mCQqNElf7Qc2JNXM&}f^Oe*aus|fu{k^|_n+=^69rE5O!VoOx zE|`D`w0CEV#c~XgYT9WPy!G_DZR1O(Y%>Cq7TmS0O|mNOZXj{vQzwDJ&Q6Sa;L4RfJcb&?9_iT@RUK zYR1lwU{f*15#4sZ)G;&I52LZ8rjk35&ML_g)m zb?+$QA@qME22mFV^p3m;GYs6l(@c#nXem?F*6>uxVCsw#D?~GU5wpC zEq6P=bt|KNH#B5W+c)9M6~rRn;b>Y*miqdGEa`Jjn_K6;Row?>p2JC!#xCIIDr{A| z5*~~5z8T;*vLjh5=Juq`+Ai_0gDF`%^7-DU2wrAB0x}UGBSJib`Y;k`kr;mlsMGY< z-4akj;$TE<8i>8*k`0K3MQzpB33?M^5!#N)NlH5A^b8> zhM_TS^2}HbBUqZtPV}_)gQ8Vg$NV3r!(z5Yqg2ssStEDje$0I88z=sL68Cr(9gb9a zpjZiYlvYOKzACk9vx1`F@Y9;65A1xgVxuMG)0z3gOpd69ueZR~*MP~7gr}W%E%>tb zAU1iQuFfaCQ&>L3n5sg~g^8nDd%5U>v<|at#BBTTmKm7YEk!v5k+Ty6*~=|#=`yiU zkns#rpkX~2%t2QW;giLJ+y9WDo8s^^hL`mqo3c!mc4668%8*>}2<7?(D7SxzUp_*D zfA(1S&I^r3#htSlS0*DE`QzLzG9_;pR46ihlv*GBE|-d1_+{mTYx{GqN-mI7eiOvKz{z3e@x5bn{}8 zN9nty+JD%&BmgXshov=w-h)NS`35Q?|23AT#+sO`F0Q@@u7Z2Amv?JmlK#xyOip~N z<~b1mTuZmT^uT+cuTO#cBVMfsI)dj;1qW@h-Z=Ne!QJJB1e9~_g+R~%f83fo-Q(*X zEL&V)k){0k$%(h+_X7?-4EC{IQr93Yn@ za?-A0$4c9ZM*|=C-_!+!6@hb)qr-~CXtizadd1nG{9Le&{TrjjHSKd=K?zao$}*ie zq$>^ii-j>QNtK8&%j_@P+p)&`9wpb_%n1cXi3Sa8{?nLN*^G7#478dJ!>g;$AkI8@ zOb2`>=!WbtrWlBe35%_H9*G?KA9ZyLVy^8!M{>e7>73@g$f0u%jj3}ul>nNaQ8avH zyzY1hCr-W8`JtLYJP#pVv%(X$++!HC(u}GnO=KAUoU1z0|6P#wGEjM0qZ@Q8bVU7k z?|NPM50z$J(fHLpTzDGR|5%o{qN0QXL*p^RZAouE!v-lpo z;bHfE3AkIyQC|ELZH6zZYZZmpq0c+NM}mBJG$<{Mr#xQs2-lg+&!kQ#%P*3 zuhT;{G%fW&GU~B+?{UBBBc+Lexk2PoN_GqIUFD%?5A|^iYC}$Qq3=8o6+aus1XWqG ztMcC`H{6HV;v4MOEVDhrZYOkg&yCa1a3}scofdkfIRnb~=~?MQ52JiM z@cR%2vBkK?`srCn8|)4uH3teaSA!GmSqS>;H<2mgj?q*0HOy3PRo~n0!mLhqcWfWi zr4;WE-bN37zL6Uo9#ak$+4qPc+8YMluM>VeLS4tV93CBe?GuYrQojobH#+xI-U(=` zCtnwGkLi3L@91q4&fzz;mMtG;W1s1KCqve3&#vyq7$!GYhnhjLFWWY~VGzulz;piP z-j{dWq>o@^u21nE+B{Qp9qtG?sbXZKSBBU{~Si-r*cB<(uyp8?>i@cY2)2@ zg?7}SNc6pdbJNSmJ5d>{#MO0t*yPuy>kBr^dsoYK8=IBt7GG z*g~qerL>K4E8LGPBGxd7m;k15j_VBKTw{OyAXshRZ!W+wLClG4!`(1_$Sivh!9+J+ z@HU#rYsOSn6*DmcH!|h5JtV4c(WWS9ZG}vU2aT(Xmj|ecqShcs4ph=GFpzO1v&;Xq zl!7_LLr8fm?#OGXEzX?Dj-Omj=2%DH9hz&r%>F5D>7gs1DX*KjK3LqiIApR5X=3y% zxA`N%JaO?&5()amhdw)8*nEQ)^S1`?TDhLvyA9FJcTUT!pFc*^k1$mbZ2*+y?T2M_ zkin?)jSMsMzn>a~DJL|8L~r{$PXFVF&S<%E^V&~MiY#&* z(TjiVr&}Efq3@fcz$t8+0t&N*Y*i0aOKxbf8pkWMm_wzQUrCp3?(-+dPtmexY3)!D z28NPBW(Gm+kJd`2o?kMc#ELy!tvlAOWg2ZP1&zh1^nNw~-~Wm~@Hx#~A}SG}0KPkuMx4!-lalF+EYTzSY8v~tljVLy9o@Vf5@G^Ovyw(}4gK)33Jn%t9bV7i2uZ)t_?7f;@3_~q z_X41HQ*}MZ8l%IdgL6xPqu1#<%f7^T8k74*GM^ejTQG#vsCt`>$C0hW0lviaYu6$X ze==HO35kHTs{W#jHNeY@_HFv{bf&9v)n~s=33}rC>W4MqT_t2aidxLF1V0NEA%W@|MlY4&q#qTeo zG?|}5w%9R52JB|ne4h<2KjV6Kg&|FPu9%{UOUtUxAl~P zgwH^~*`cYwrR$xODv@*i1!H`p?lvf#l!-!DFZ#(4aHBxl{3}(i3_GBm!Htc#)%|uX z*t7b%zyCp7O}E2l@lETAUl1g!rtj}gS5+@tK&UG8=?mfSN1R^l>wc7 zUKLg6Tpb>lQqE*|*QoznRM6~^I!W5|eC93H&bBn>Pc^BjvU#1SuoOw6RbP$@i;Ih! z@`@l$Q!=|;{dKw=9s=i-7<2@lz0;}kT);VVqk`{F15?+??Eo3sT1>wRBb=2`$m#BW;x9aF)p~Knkh-#n!a)rKy-*S%d znWUu}$NTBZSKPn$^ zymhfu_&Ihnt_=p1V%ek%aNLVdevu!7b;vL_-mg?~?ce#xhK@P8a+T-pe_6ZmY`L47 zbFb4V?7?Yyg#S?Rem8)x#z2@=SwBd->NvVUL7OZ>6j!rE1sZeZz{*wY{yGP7J=rEI zf=XgNCu=3IP1Jc1K*^r|m2AuIVM$#Z5gT9wnn7q?MRT5z%_wbu`~Ca?Z`!Fr@Lq=$ z@fLQ~c>n4o(k$~dyz$y6e%)(30H2;>czf+7r&#Lx1lHFH!1lx{YzT%SfiY*&H}?kR?-O4_(ku!HN0VkD|cwh zO}@pixlFUL(tdqUxC{0NP%WGey8Os7Zq8I`H#|Sw-?uT`!H^82@KD!XYjjUe+-3j9 zGdX3J*t#+Dt-Q!sHIvoV>Gs0O?&1ReW&ke2TM)*>?d)gBG^tn=9&w)6{2+(loNKgv zaho`rnk3zd%j}oo<1_n5z+%O^r^wLA;l}u@(xA*!Bg?(073km^z7y9mNT{p1zLIvm zKdj%Qe0gJX+r^MPYGR}sy6-5d^O_7~Dy~d0qi@!>VeK2o;_#bo+V+QU zq-fLxgnRcF7iYmNCeZ|ZCRe-R5yum_hJmDESmJc+uh(L4tol)Fk33a^All8hiAoJ- zi<>1T!$Aq@YK3{AY2Z3VJ&@mxp;G)Kn>fK5hKd*}iRx_*E5zPFod&s) zs7Lem>?Dq^01)bo9W^>IOJl^O@!I6)&*)&D+hvcU1YX*=mKSo`uNg_apDcG#^%t!f|%TLMZ6Vq>GfK0X)J*mn}fNruHl!@vp?$4`}*S*hzG(NK|rwG9u53mx~8 z80KHd6O%B}qeKfqLK1xnkT0!)Zs-y~!AC_!MaRbufq{lT;3Bb~-YTt`iUWhY3Bd{# z&2u9sEpU7PK{Pm!JKf_iD6!G}5E`UsC=ujlX3a_lqZursUvLq`DMU*!gxX8WiG@yp zjV^qWZ2x-+h!q;-UwV^aDGl*F8}yBMw%t)Fmq^Ys@VW49-5oW|+o zjEdY=108DCD>JXv%=jeHeM@yprYSsNJiyRw2ADhev2R*w7te-GxXN37(hErGqYm&9SD;DW?Q%?-sMuXY>`%4RlQ0NPGhg<#u2Dy5}&k zWKZqTtLnB8XgP4JTmNx?a?*o>Klu#>y4g|mK;b$ zMY>;Tr9jU&Mh&{%q%UzAx!&&T8Nu~@cbWj&Z{8T;pI=l&PEBoYYD#HA9QbLD8YDhu zkkmi_k-9QP!fj7RE#i7h9Hdc(t>DG|(BibpIigLKDfuq`xzOr&T z*&!=m&CBG8Uk)1vgp_A2?9a=*RJjGfcxg%5FL&KyTg!6@E{^hU0nP?NTF7k7y{HNr zk0D|{S9RT=Q6+x~X?+ywMIckut84fFbOO5t#tI8%KL_{g6R1MCF@zq92`>MmC=6fU zawt72i7_6_mQ%?1ILy3>n|n@Hq_U=~yFCknrSNVbR^W|_c>m?14{_7`KC4s(E^)d* zJQj;dXpROFzElZxQnVX6IpiQAD1*KS2QM-$Y$!A(rzXY2AVN~in|2vc(T1#Ve3~~m zMbrQ{_@-W?;KLF);e!*a=pZT~XuO8Ew^NV%8_mYpAA&uoc@Tj(*!!}hmWGW^X=uQx zBdN&4MO0G3TlSm3noBkimmP@rfhtiS|}5R~#>@K>e(jz+$OafnCkWlo65s6(+7a$Xw* zEHN3Z1k@T96&8XhBDgp>B6M|tf1N=zE=R;brgRE@rB1sl=)EIKN)AAcXF!J=@&8B} z10-egKT_^+GQGV$uPmc0k90@LjZggl_9Tt|55v z5Zv9}9fG^NyE|;$?#_A6EBE_uU8+*l`V-dbF>Q?5J^S>Hr-zzn{zWWLUXPsTQ@)(E zqj$Wa5Gh`NuPFQUXXXsrn2*Quz$JliJkehY6zFy^fJ*~ANUSU`EUYh%>zK}L@d*jg zJN${+7?;R4yJ}hl<=pKh%ku$tz_x&~z4={DK94mtwBy-+f z&|-am2sm`C(wX22fBDpBPU!O+aEQqy=t~Cv*1whwKRO!0vSIG~?usAT!@w}wgY!#= zHT-r>(GS_>mflm&GzN9Nrz#Mi_}o`;2fia&acWO8Zh&9Pja1yCFprC>kgIc0#=^?F ztWw@9Qqfawt$TArWJ>oT-KT4}3V+x~?~CTaF29BK#o?i(oP2F~XgfMyzKrbU@bFL$ zOET$WkU8AMh)hKZei%RGv*D#dtyac!9L_9T{YxXtc?$GLHpoDDaP6-_q7I_e5@Itb zmEP6G_+9H1#`^gvyWu`f%u+BS0S5fFkf-6%U?;(a06WUZeX|(wZ!(3&#l_3-p5m5{ zmRGrOYzbn8PR&Tt5u?w;6duNQzHB!Vd5dYIFy1Xhg*^=iCq1` zfSN|&uDRd<#cX>{Q^UE@9d!7(wTE`Mn25`Q*8ztPWb=KGoej$jpU++!xe^=|)sr~xH^aqol)1MDFJ7M2!EZ})a zYK($jbHwiG=6Q)`!UP&lNAqG3zq<2*{u4zZg27@#(vL^Yz`q@U)61Ka^o(liO4`89 zJrxPt9M*6kl{O!|fd54Gt#czi9bI0XfZTWk%B^crwz$6M3XY2`WxSAG?hL_@v#e-u zZcZGIiJiw?U+nm7Iv<$Zq&-bbUo=T5Gg;*CCZNE?b``{{&vAJMO`9 z*H#6LeiG~X&_s`N&{J0t00EX6(;1(;$vIfV`}35tgZhG7P5qEK$M|EZL@FNvS?p_- zNA%crKe_`nnyA1Mb+0~sm8Lait(8GF7ybT|;DQfi_f9tKA`S`o9}_rXb;FEKe1-rg zuCHG`#VKp~`41lPWCq0GdI=N1j_t;&+Ys$N!ow2k;Am^pK|NXJ#dYRaU7@$1h8qIC z+Ykhk3yBOZ(a^z)v4xeCOiyKP&D>}O_14oA!6z#mVd-HxHR5m!4O&>MF$g~o zUPNQkl-5`(P9CN}5sQEVxx5(9&*OMR?!Xt2F96CslS9}w<> zA^h9KQK?$yN%_sf5`%{~_%dBru#du#I**zz7orTWSyZ zn^%uCK5SK^eYooz_;?46^zm1Z2?7ma2U8=s{Q%$lD)_ZHX5Q1pDm}M zYX=qhpu~Fk5Z&xN7SA@E|M{EPe2}$=X$8j+7wSv$1SeL>ne`&B60j7kg{R1|T6(Vy zSsisr>k?fJ`?J3x4&pEmW-$+PQt+=lkY2LDeKR510SLJ0pbu35fpK*+;B0)pX&an; zvi?$w6Xf!hfLouNA$td!E>brl$D&Z)=l_WirUngo-9hK(<`x%Jlofpe_s8P< zPLq)`*4K6P)KW4t=cI4;6UP>;Js{*CHhOaT9uKisi?{@)VU9 zMD>_fbJJsocNq#n{vI~G=ceRNhfa#ixt2bu&>5zOX}#&1A;gXx+X(B~ud-O& zOP@8VZuN(+y!NUa0(JIGR%lR<@nn&%SW%r@!w1-uT6dBcSd}6td_z{;$&80m+?VM| z@7~ilY@XOYV^q1psh*BaCYel1kG1)=R_~b!<#8x>OtucX-W=u|BLy5eS{a#WB06S+ z<53q=no3&12}WY2CoAArqAvZ(BWIY-B?~0V)G_SI07Ax8x$H?i-SSb7&Qy1wLG-rL z@o8)E==AmzYi#F)Y&R?)j9p8ZQ$!8OeY1a5u&;rj_*2`>56^~^M{R3{;z%rHs0c(& zn5HA8I9~l5_=1MFi`7a>O4rxdn_v!)RI|T7e4K?lA=O`?>|-c=Qlf{Y5+eFsZ1jq~ z#4Q=LeHsS+x`Y~rEdwOJy^0s+qOZSSIzuNEE~jdfMI8x?F3xSl?#-0*h+PxkQ_`B2 ziIwlesheC`;XSmOMFCTw8BIlVLw6gs^M#k6$CfP3ZoiM^{>RmEJ)d(zm+`lJwQPHo z4xwtq9TK022*=Md`w&?B`z%qsGXx;H879gM+Jq!S)Tk z79yUw;KA)eM^WD+FTaXvdqG7-8=U2xjpZ>}??SuRWrKquS@WX0{7er6l%)wmJ^#qY zZf|hBOlylpM?_qWE>~BN)SrqbdU3BuWsGn6@d|b$H0L}fFLgnq7}II^1i4<)nwD?g zG$!@2X3_6HIEy&;;57EPDIolZi`n7lG%G}J`o9${5|3^%ugh9SjAavu$1_9yu&vzJ zSI~ixOBI|tfRZ>-E)<2bC-LvkJ^V#FRL zR*uqeCo%(_fprE=GzS49vS3z%;>&YhU@ktM4f0T-upWPLd2xBU&o!+ELw?lDxkru; zerfJ$y!h(Lz&AVS_mN#XFpc{!A0lf64Ce0^dYZjA8-atVkx}l%JX=Ud>s2^)qJ9q9kS++wqp&M4mSHoV zCojCbx@CBJLzNI%(0=XkV6PsHNRz+yw18}5qvIXJca#L#Xu>alCkKCjXhT~=GfT@F9XMFx z@5or+RHm@NK{#UrtgBisu1|Qo$~MsqEUTGx_Z1~7ryGNg*HTWlyNxqXQ@<_9t;Ea( z!un7Y2Rr>CEin2B=Ck^PXWN=kVpkc8qx+5< z9Go*NE6t#UZSS^me+D%n3#>>NfRf0$-CBvEzM0*LwW;&#F_8;~HA6nL(DSe9E65Tp z)A0sZwdDm4DUw=JC^50T$Mq+xR8M`-NZPSKt(MVEqUsT(fb7~*lDz1B9oVQCzUX>1 zlNXMc=r+6F)g2G{-jQ&7Lz4h!5lA3+SWgjc*!RlK_^E&4ER(g-O6i0niiK-?z)rn?* z`0I5Wi?I|SFk!9T@hPn&uq(^z+ytlsGtm6`xCoP@kqw;U7F9sB6Q7mC>-aKyRpRHJ zj`rfQP<4BGX+d5YU<%Xd}?IO&I@N_@21E!sr4k{-rF>uX`W!z6#K!<7uSpp{J;)rbfGE@oRp0 zOl=E{QQbACR5aVdxMqj()OKGuCoz}P0Bb|UveIE5=%6H@)i+-6C2B4Ba5Hd#8(n$Lqjqpy{1(F~W1FB^Y{vS0YJ$=Znd>wR=1zSDOe7eR zTEKDUmync7MMvqK6&!viQvu&1JB#LZt~NK+`No>oiw^yIB|3+FFXOl0K)Bn!uv_Va z(Eul2a}}XhEr&7YB@Kg?S^vlB@CP5N(yFH_C(7|V5abDLVoMavuNGyb5C7JNSxBV( zmf?IKs1k^cXs7&~wx=C7+HPyi#$g!Uy@8V{<`*)nn;)hy4X7?TzWA z(9ofdV)X2GUt(kB;>Zd;C}T}i%3Ai^!&?d`ww4{iXNWKgZs=(64!rqbaiA3a=B1&` zcq(X@j_Vyc@05cF>r>aR_Zm&wv$PWO!H;a<02V-y#F=ql$y^6JbtD*D{6HHaaeS9$ zL*A_0)Bz9kyihrZN#fm7i(=!~!R40VqohODMW`Vn#xU`%mLHZ_&ydmo1^Pl*&9er3iI^3f}w`TJTBG zyRtmwV@|ydW?XuXLKaY1bI?-XkD77WEW$eiUmVub*gqYI@ZddaqnF81 zz%nC*^jUhOSFPMk$?6@;0Vp{2j>q3m5!V^RWqthR0w2}@yYKGmM}eZ1?Ctk!uOUis zftcOOf}A(?i+mZTXkbn~S-DdZx`NyFDiTFkAL#`MOx1qyy&LCa410cir`(SQDxKv% zF4Z+W=Ci+2mUE}%NzK^(E(o=V3Qoezl|suEM-B^vC01075xM+;grb2R)B4J z-|iaz%XUt~^)O70ccLpk=WnZK0&-_rUhZ3}r=cGj5u5$EUWNi1F$QGWBoNJ4!CNS$ zEvIL!p0wTD>#q`5Q7_y*eLqz;vh`XA>qzKX_|M7flG_T& z+e@R_o1|UA!n(&JuNSABozot!Zo3`9s7y7x`*`=8)*QdU>jXvnqcMxcoY+J1|>XQ?iFwj11aK1j3*tjV%Cu`PW2( z3&`$*TuDP{&$8r3jcBWMNHOD_P6&j69IAS&xM(|%B6?AFLIz)5bQRq;aMvcIg>51M zbg0zy2#h7N`}2w;9QD^FyeC$$mF(wqC1qnJrL^Z>mv`a@27mPFM)urX2m24*8<_NB zEGemwl=0PxHiPv2KB9P%0U#}=9_$gv-N{KmP~CMc6GDrqryc}t4@$V3e5|;fWHv}- zZnd9;YE9d^fXaRU%!U($)wCD+n%P5-WP-s6MxNKa<4#Q3H|KzO2idBFSS)`5kXo0!ND>*hV`J?J9qU-L6<# zzx27y4zqBuY2Bm@{FSEBG|JJ0&opzmqjBLM#v^A9LvtwpNT<4XA_UR(>E; z{rm7_iVTw$(1Lb*r#_GAvAgTJyNlG&rc=2cj9u)`v4VQc@*f;gqv;gsXlJ&zvaPEJ zD-;U`wlu1chi0R##H3*EfB|2V(Ihl>Ha{V)(gWlD(O^&6dIhmbjkiHfuxjr?k?cy^ zQ@X)pzFkM|m|;b=f`N8D4fel@Ss@}LGqG2LM30-U4+vb zU!_K3&6M%Q#)gEb7*LZ^QZ8zpThNX!n$D)ne=n9+WJ@HL-)!DZ;=qI0O&*@p*nFor zN^5~URb}jRTWU@-JJp<)Jlfi&mbOrfsVTPElv=H?n805P`O9|rV?7q~Kqsm7_}7p3 zP-FM6z-G9!MvNDRv-r-g)iW*YfV^1l{XV#7|D5{f{eBOxnR3u@PD5z4uQ0p(kxnih zfZ@ErS7Ci~#z5*2WML!7t@m4QmgNy3?(>|u<=i2sf8Ur z=U)vyZLe{4DO5%%UeEf}U!uTy$JL8DlT<1zhnzU^O=Ww%AJrUK0^{szmBjbRPp>deAnmRcRm}kh_mcRSW*|j z!z^F%r+#(*Vf0eJLo!bm{_$IRjFcZB-NE;0G`Va4=yBq5R9LZ5VErYVQC1FDJem%^ ziF3;!_yE`)4%jM=)+a*hx&*nyIlvA}Z@EDwh!3h141`jlp+{^QTWkNggr7D#j;O`l zunP_#iMJ9N44Giz3jSOknKv*hd{Yll$-8SP?Hn5zA>3xULEuWu>pdCx?!0dGX?2o3 zo4dg8K9drDHz)=Qeh%F51ex&V86p7;!hWP?s$2<=`7@!c`3~F9kKT{)QfHc#ty`2{(~LT|A8GGWb{sN z$0W+GLO#)KsHPLNBYcl0x7DA?@OV706)`V}j|3I}jRd+balbifUc#=pL z$*Yh;qSKivUJ(6oO>y1;e83Si%=FHhvnQdV+_aHtm(DYUQgd5ld9~$_l&5{u41H7L zU>+jeq`RUYlxK)R!c!aT8mijg>?j!n#^?Ydg?^s_dr!zN0Ed1$U1#c^bqP$xn8G(TQsyW`u*o^@nebXpRu# ziGN61h`p~t8VIXBmaK%GfpTAG14if^_@Ek7r94OJajk(&5QadeU;i$TwaOPmp!r9a zVb+>||9CnpOV03!86PniYVH3(Z90ZENFfUi&MvtFs+47J+ZP+zDjzk;8#*Ju<-3S=j_0_LC&b$=AxaQ=3-XcMre&0>P?H|VRLuphZQ4z0;{MC=5^M_j3C?wD z6QLY>NKQ>d{6_h!7d)lt2Ai4Ev*A{E5TKgS@`3-V7o#OgSU1DxPV@M(-Tlf?7nX%p zfk2E1YzeGWW({9Y5gD@NJj6LrX!%7=o(YU}^gBOxo=rwDm1$?J6SfHSxSfhl^;lgZhGe^J-tQl^~ zyJBi~tIPGtUGz8;Ik$|9G291AuLytQrsz;lO~TmvQ-1%r$blqX}40 zj75RRg%;))(l7S668S=X7G8oG$faLM_E!CY3h)t)`W7Ye}^UlymaQvxR*SB=KC_wU(5 zfT^hj$L`xdj`qh2M%J~OrdLz)299&NpSzcqmg2rnBF!GA%xh3Q$$~U}LCIh!0wM#X zQ5Ctj3d7eTU7G8kMn64w6O(iX)yrHh)44x65uyltz2c${eR9hxgX&1AViPWy?vUj3 z`>78luVtbI6Sw_5#&r~;cFZ;YU#WHxXH;07xz<6qICR*uzyDLQ^=b#Im7L8>kiD1k ziH6)bzuaiG{2AeCR3S?#2cgVDZSW}~gdY=@MhSsvX8i}SLI2>{!&~Ai0-?oYyybuV zXoTIWOj3|9PrHo6dpT6PmI`xD=+Ezfs;De0e`(K{Dn!^~cIMf%g z&4D{ni+im7b2z_k+2+anNHScjl>E#kCVJb%tf`@2x-Nudz( zh%oC2h1`oxJ_D14#R~Ha{i@U8pXI5wRq{EPBy#;DPs%%JzbujeYk}${C~Wh)@1w2_ zQfv+}a~q-4?8~&m1IUVYv$wIm?+o`W%bZ2JCIiASKh;r?ouW9VP#t^C*0f_uUUeN` zY$o^zXH2oOGHsb@J>}K-81Si#=a506Ff#FS2Kz97ong@7^|NF20}!UMH2Vkv`&Y3zgR44M5)KO88+*R`X)tjYQX|-vjLoLLUFTpDlomoCz&(m_iBx zTe6pN_w(mbVba9UJDAJLN(QWThOtG*LtLMGbrR=YKHqoDY2!&|`tb|7Eyz!?v^1g$Y^=n$I% zFQ;@+CR9M-M@y-{(Y*;vA*RUjPxT$2g;DByw&@l#MHxx_wKUpL8Z~zBiw%Hr61zc! z?3bI@p+3w`Otp7nB5*H^hHWf5kLZIVT`DA(8Sn#;?mOB|g~BR`>U7piKU}n{EOBa5 zCjpS1Fq$8^+7MDJA_Xb2KzdHTnmf9Z)FL)8jD-Cus*CBDo85Wv;4m>u6H`J5?l%&F z7)%R@eBq%ua_m~N=|EJbhCo@w|5PsD;E#JNaM>PWOVF*p#AsC(}hL2%)L zK72kBUqNaQd8FadQPNO0JsWR7_vA2^aDJ;iz#0PC+O7N1Up51(l;DmP?eTfZCDM57 zaB|}zS8Rx7Hgx3MlT58R`M$4DQLg#y!Y(U8HlN`KnG zrHzP;@|97Q=pXTwu%9N9T z%ampK1HwG2P2j|q0#}Z9j4N!#z6Ms{i3tY7bHi3Ycc+KNcr_R5A2R5Pvmk(K|*1(E_sI{U!J;PW5sexx zF6^G_W+>V5k3F76Zqizt2ze~S+4yV~?jXxE;XxLdF%7LZ7W>b*U2ao){#FHC>i#ff z!#i6oFut#>^FX4Fj;uOn{Aa+nA>%{5B;U_(UDSKoEJJ7(NWd5lXY5o5T3?bB+M+)Krs}d?pI@w!wbrO|sD<&MP0+8+Jois%;O+F8@A~TC|3uO^?%C^pd|VN0L>fMARla z!4t#nlcLq06~R;WvXc$WLAD<1sGytv_tdUN$Ve^k3Whaef=+(ReCy$i;>Thf$Qx7; z$5lW1Bm#%kg~{=yd`BgC zBfx<@UEBs(;&TX|Er-PthjKJWP-z$ho%XwHqCatB^XM_@9_sChcpN*s7xCf^`u)Jh z+tU$LNW6!pW;oGVos`0Y+WAOyT08lR&lotl@v#}g5WOk+!GR{{5ws@~AK&DI{8*7o zG`lqzSmYSuOUdG1*Y7I!XOr#vxZW68r2JV`eYC*GZ|G2aw6}v4ZB}B#Sw#I%9{iAm zJ=WfSwtF0|=sel@fv+82{kP($kfsr)&cJRK``pd#a32Bcs~$PK)p5wgaPS~=RUvGN z1^&x%TvKxDGsIeb=ee$3NT^j&v2~_rVJQy;*LUbn&i=7aKZOYJ+tW8aHxC2v#G()( z7|HSss_J$QFNjQmT=YL~3FovrZwW)d^VIW@>s-QL_B8@bY;>>fpSKe_`z}WPYY|79 zvL$>$AVJ)PoUJtr&niV#$|}G)Ndy~bMKH-;i(?E+p1PtM=oU+E=$Go29JF+E-J1?i z=xgKT97-zk!xXe8GOCX!MteT>wttV9BEIdSz8(Fr9a1eIu9w$f?S1>vrsyQUQY}_` zN|CuATE8a-v^D?z?`C5W3v>y~xekRw(UOX!Z_g01Q;kWXK0>5L(H%#@6_c6Zhivvm zuA%}_SRV4r0{-*aEDqv~)T#$aTs(t4iHBBZfp>HUw`wcAc}DQ%dW+xDw(`-U%z%Me ziP38?lEb-Hz=#@v=SmEEASp1dB`Q_AQY){BTWPron8E$(7tS zL4aHnA`mHI2W$KIXC4ViV0gs)a6Syl4nDiXpQj`G3zg95NVpf%XuXqz&_eK>`wu{u z^Dc;oLdm7idKo7vqNDGhy2J36>6Uouoe!%SaaA@d^|PfsiC zmY~{$m4hU}>GM;oeIMa-abrKzN`#NfHW8~iIY@Vx=7zVy@f+C@V~YAR+UB1G4xH|}?UGR&0W1M!K*5-e zC4g9Q>SR43W548_)b9D5$>HXP9;w)7X+&7?A11| z11X$En&^H!nbRc{BS`vp!$2R!K9bj?3ir7D%^Fsevu;l#`e#^VD-cXxU)&u%YIL;7d#WxK9DX;{d3J6++eZdcXb&I&@ z4o~Ama|tFpSt*}-rX9b3RASU`{b2_~|6b{sLrGTllrq{pqRi|0T_ofG|6thEpdb+l*MvGKM z8_K5cH4(Jt4R4cmKMR~;|1u}*eE#Q8V5Y@UEUi4T0i=$c?oE0@+Fj%535gCPpF(=jGb4?GY5YIRrw*}ps93q) ze=d$rWv1u|b$=wh>B(m^UjOmVk)$JL0m@2Igi!UxLOIyN2v4zV#S^fT~$}B1~BZw7jG9ki&bj@)Mt6ZW}S)Zgn}TL z;#`}=dcpwn(t;q_Y=!15JObFcxI-vGn2}Z3cUAgM=vWVTY0V<1t3)PV8TB1+Q?ggr zEN8PE!cWjTf<3J_hnAU{^nLqGaeZ6Dq@z8gyFFfbdTSq^WAb@x8TA)Zlit7VcSesi zZ_;o=xVS2uMaCNZEXmrqyEdqXXuK}|sqh`=S^Y;($k*mF?)p>ZBs2d!rIz0rl&6S( zqB+@yZn83&puvu!h~|^}w>95(`NZ?IM#BJIm4Yp$y};$$do}- zZ}uzg+ojiNYP4^AIP&x5o`;ryx2sVyI+8W<(=3th)WfNb=kU#h(TJS4Ec`+}EN3+J zF5F6hNjUSn1z#2%hW8Tsk06xlsQF}p3-%KT^V)rV=e0=&Ci3A z1RoMC+3RuLLB?*ZDNRKc;>sAy(7)g&H$oDKTN=+PXB)G9jF04`1Y7Xq)7i4sMS(!( zA9)12KA??U1?(GZJO8hb#X2Z@7(SJu+ix{$GAa!_-|)l4Z?Ve=R#cnHtZH|At4ftfeqQaH9M% zh2W4TE~UPaE}qFo^bFB+$y3X>Bm^^5T*wNC!AF{bphCU-`=u5sQ9Zp~73bL^&M{{3 z{Qr+p=}He{MTK#8)`DfY-;R0BTgkrNpWUk#*JP)({dQSJ#O_STJ0vGHs(Hbbv39XT zqr=-nK|$dk<{%$weB`ON)S({&Vb;9``{Du}MUkb=^?0gW$cm`vMS_W$j`7{dcSok0<&jYE07q)S!? zpOn5k=uk~W@KRNY_?4o25VuDC;kUU=sY}kGBM}nZ`avWt0}EJ5!i}G!myP^9*JAi@ zNHSf%O8lx%{@*bvX#Ss$iOPQ=f>8}f>ah;fq~eSowN+7Lb&<|HM&PgoeyVjP{Yw|M z|5tj*{x6+uFVMHRKWvSe!RPZGv{TYIW_c4Gbm$Ch+R|j5>|eFJZ^h454$n%=+8P>g z8qhKeU^j+?BI;IJ151q1;M@m2OY^VHopxzeu}CyCO$C-hLY6RZRQj z5;Ov^ZrVIn|#e;Sy>_39X zKTHNph%r8W^4E|AH*zZ$Rzd&yDlijA=ASYHlW}B@^EPmc0lstpe}7Occw1-GzwDlQ z=+4H%n$BuREv#@kU6S?!12Kfyna;-MgmK&PgfvySPvwlPZ0pLgg3}Gr1mWkisM3^3 zeUYlz^6-fy;utrM*2XA?{1qo1DQ$Yy0>#Gp_h?jg=Eo3R838UBB-HBwuGtdnew58_SOXYQe^cI6XU`dwGo zy+${MA^h8dpoLEcn=}crAZ*?a4eaAXC)qjv=$oR+sT|^G=*@ z*uHDUYie-y6}}G#ZBvHmetg$-9b4}xQ=PNJ4h<@IS@nu{EY3PI)KF}~*X0M(WGH{z zsWbsroSX^efPa-Z&m_KDbQtVbBdsUVQ^b9yRKtwnrq2j`4FB3xm{dchEN$#y{wHo@ z7WmtnL(15&ySTk1k~uX7*2^g|P^;2;!> zQesL&L9~SCAyC82>`XH%*7e-7>bXrfJL26c_d(uB_I<{Cb;?2u&-=WmZCNWV7Qhb| z#1iT}8d>(MLCvc_-)>27c7e=Km@#3n$V6-y`l$1%UrUlK_ELIAuzvVk=lo~%kv&i% z?LvhxW6ThRp=W&p55d~rptF}a4hNV&_}7I*)NWu z%lR`SY{Jf}l>4^^HGbaTXeQ8dXRXpgL*IKGwn!9XWDIB2)k_`Aw}ahUXKrzi$=G(e zyG<42bFdnXY^q$1dL0^AtH|kW%-MIJa7ikr9!XqMVqQx{B3Ibj{>*LR+jAb|et z&pld@WF~(WF8!tOuiAwg%;6k1wGz>7k6Y;{21mQ17!z^hr4M3=kjvFSbW{q0vXO!t z5p|sr^@-MMXoR3SxH{8HlM@n|I80XkPoM-FwcY;NX*l=7genNwx__xTI;PCs?0QuU zYxm_KjCVWd_bE$kI(HhWoP&m@QE6M_XTcR7O7o?$wAM98F*g$V`Q#*Bhx3-GGsL&G z*44oeatfRL?=xGO#59B9bh$G-@-y7X-^O_%8f-&b-J5yJOYCRHH7Z%Tg@l$EiH|B^ z!%JJjUGeoAHPdmubLndfC}^sfejN8c&u3t8jz-O9U2K7XN?whBmT69J-YN6Hac97mAdwRh~5O;vs5(lJ4ZI^ke&{~Bl$YHfRaf@N=_b3yvOANX8rj(vU2-* zX?m>P9PI3_&MCTD69#ymHyqxMo;aya9_Em{$d(FSzqG(&>7Yon0b|k6^ss*`n%^8H zms8P}qzp%U9X!~KN>}YQWLQ7w^!A0zDph zFP0Sal~F8MFf3(CF$z@s&@)gKDr^I9=v{rwYrP)zSFywSk75_pgMWG{)(b^D&0@HO zNVB<1{>ssJrMHKpzTG7lKY4Sl%9uygsZ9O)n?z2w^Z89 zt<(1--^N^u!lQ&6j-1=B>Fy)cnI_!M+YjfMctE~n!8vQ|F0a+R61 zT26nCabF)yxkt<qzE<#vC{dcH)gh&-m+Q!x!r_u!I%tz;tN z$6>AO?O&zH(8xG%7%a5A=VXU4{UZYZPyC;5f>0j%idxdVX+Jp`Rq>q-(_i^#H$vSd zv;F?EO2lVNX|mzcB9H7tFkaHh1kV*Tj_cxchaA0Sfr6*fkUyt1&x6D(@qq>5IZy0t|&BW4u@2qUpZaf(CE0luWgP>B!shGa8)$o*6=V%~ zW(59rt_`nUqbDC~EfAqRv9vBGYlM zt95(%c_)9MT<5-tE!Gd@P8#vdZDToayFqwiq_f8p?=tIh<=zc3J_veP$s2qyhkQcv#K02^8i$4HMQx0#E0;2qTnu*-w1vQ?GIY z%Rtm$Um=Py*T(F%!>agHKBT8h{uGa)vlgMO0UQUQ6Gd)%euWU@8|8Bla6)>{$%Gx) z=yqkaCRQa(CePKAExcVBcd1La;35r&A$#18wF?O@KwqjjXD*ynV7SC8j?{^{GvYr3}E1T>`z&~ z2KT~4^_+gG{qyVBSK&_sp*D{{iB>|R2V+nlJ8T~RGR!w!I~L%~*CN z9Qf@mDWG+Aue>4nrMY#Eq1g9zZlTG0D=WWGIRlw2Qd_fs09to%5f zi5N}~*;|j#8yIjmBet@2G2lsLyR%oH*<N=QFH^f`EN{TBQ4Lgow%&JQzCgg9d(_A9d{-Cl z-F%-THe`(}&UM-}wx3ofB>*Zn-hUXo#*YJhSkyV;5n%loF+sp5Ao6I~Bf5RKr%HVHwbS;dmkp z)OW<7HFd=Rq^+Nf=8NCV*`R%@jUR<^qpuy&B)%mA6w)_t7^#8YuMD8ajUeptiFey` zT@9Y31g5|Q;>3j3!dmXfXo{(^?S{f?*EpA`meV`{e;MuL?by7%EH$tRt55*&($~11 zb1v2N-0r_|ZfiMu+{_b8jH(TH#C{&@b&b+SYtEE9ZHRH%Z0O_#eo8bK}bNc6fji64;XXu8i*==g||?rVwu zBW_G9hMF$wjfGz-X7N1P9-Z6nHMLGPCGQ*UI*@%N0NO%4jNF(;CFYZUcZEb$>)0f1 zh3*pw!1p>_#|a z;yM~7CC(C@A=HbDw1i-Wu@o=fcNvbD=#8(9h<~bQOp;n;q2|JVSO-=7DQt1k|%ZDbvyovuOX=i8xckqrL*6j zt#ZI-f%7MW8pB#Fawag6#Y6KZscJ%cv=T-vY3xZYd+sFb*BA?_`s4fokG9T+RW&}% zYEWdTMA#CBRzNY(KnZ@#_4h0)&7z4ChxWQsDY2V7{B9$4x)7;KLe0^)|BVqG7^BmF zFbcgmErYB77pJWIzMA2mQS1g6-9 z@L8kWPbVPE=4ls~&AilJLOJ!!CQe;yeR%Jwbn=*=OuscwdWZ{HaG@w-)grE+q8M$b z&ooLYVwGV;tYVnz%?+o2R9^Zb>+L37f8iFpR?8rZz`FVcSG(M%H#|=_Gt{Fsi@X&F znD>F=_$-6xN%`?sHtUMVnHy%Uk)XXD^=u>h&NpcLBxWW8!Ej1(V@)tC;Cae-;j`DC z4qjJ*X!*bt**VJ|VhF?#tm4Hi0|i`N&2>;SzC+j~G}-mGqz_)7p14Q*$6=f#yt&?- z>(|4Z5U7h6tLlq>O9;9R;LSLO+54Th)+6{LCB=ry(_O&~W7F;r5{s%gTznNB!|NdD zkKyb%L-ZdD$IP2dex`FvaTUDxvpd=cS(m*mk7;^4P)KxR7?_WNXF)>jA%5V%iI^yb z*}3_h^C~MqTGkzCj zyxO9JCJbL`pBHJiy>pAo#qMUwPl!yWoEw>_{Tx>>HHlHndZk|J5+*tyZ_^6fQxEh_ zx=+Oklgl7Pdi3qLB}^qIIa7C+OuVzK&ifJ}w$^(|5&c3`l%jZ=c&LK%Q~;6R9$*+|M*}4EfYGgJ$#*$$<{zFA24a0y zzXvy82d$n~Jfx&L7nl0X z?s$Dd$V?CJUBeWsEQEE7wS8hdB9N85U;V^1+Sv9(Bs?)qtzfS1Lhy?NF8uDT4Wt;r zz*PK6CE_cq2TeDro$r&~+F6h?)Rq^sw>*!Nk8@>679Z4kwtA1tPO({{$b?w4gX5jh z6MD1+W2!#Mux#E6o5w1bn4xnnJwS?a{d1?7;+MUSYKw4nmj47~O5m;CYYE8iRmEN-w7kPei0hU`Q zf(cRD_ap!1KwmlUfn7`*j)A%ysRH zr?I}-*(8{MJ&ernikq6!j2sD5&P#mJgIstJ{ylCD#SA#A{WN1sw=YHarP~0t+reL3 zBc;Gx<~Vuq;Oa|<-NMgZc4yslT0k{JM&utwFA?1gX_eJV>CMUVv_t9=X%+Kd7@X4h z--o4hNrr}el$rbug4g` z@84+82?|GWI&F`zuI>e5FmET0VD+%rxOMWWX3l*VIW?EH0i3fD$|#ng-NO7z!IZng zwf~2>Ffn$#-mcawTR^Wy~@B6p(w2>LxuNn^wFPqlMajCyO5UJ9+UN2nt~O^hkk7`NSR ziAu=*1p6c@t#Gr+cr@h1=GG3Gi0s96HkHDk+oMEFqWabZJpt+nOoCbpXe#w0<@^t7 zYEBQNRmMi>If9P2V`5l3g6L#5Ta41jf)F&eV^6b910Mf7PL^c((&6Zovg^fgLXP)nePb@vrN5s_I|5rsgZDCqC6)LROF_etBN}Dm@0!=x}b) zD4WZ81)O%hq?R@h-ylzRAeI109BLPRVJVI=UMO*^#kd!Gxo%vauS>eetBVKBa-Q7j zIdkuGv}m>=7?((f!*n(=tnovDw1)zo|r@;W~Kb?}76 z?4q75>0G{@Jx>+836Zdsm^OcxVW!MAZSZh@SPfJh)Y{4H{ITlhx{{-ywFfocu9Z28 z_d{>q>rO~mu;%+T0mqH~l+Sdi?D0>f{hl%>&Qu|Rpmo|;22E>{+~Yf?>sQ8B!7%h$ zE_;^Bt-hGu#YpLdbcn6I$K9m>`HtWjWM`;)lAP0L9Ngwe)Q5WYDv!_1Bsm&UIj{Pa z2KSq=ctGti1rUwc+8@08>-~H0G4L%$D2QPUI7m(_0VwtjVC>M{LH&WFH7saAzmWta zoi2G?@PHk`a3EXSIiIBhYK8C2664_8v2&p#C^>)oshrQstdQVIZOl%DRMp~okryf( zngiiNkK1EEJL=o^gN5YF>a11?oVDl?QqxTCLF&ajMcu*uts~r1SPl&Jt=3&?R%Y>^ z1dI+1Gu&rs7nhm!)yfg4xD>CInKSjNeLJSqUWOfDwK$h= zg+-YvQ}YsvN2^!NMN+c?LnhmSb3;tAO1b>izwP0%7-@L!huMW>_n7VPCVq<{rd}PE zVqF-cmkCn-9~#A*DeS1J>H9i%(gPZAP&{1(q>p^E>+d0eL2hadmQSRg%2;8{7%@8_ zgGSl7c6g%pWS>9H^9A35g)ii0><`wl+kw?Agk}BV+$lB@YFK?DHMFELH*-q*1LP(2 z>xJTpNp;p#6)DiSm)3lr`yq*HaX(!Y zv9RC2Hb(+D1;1=eeqJZ7#S7TYo3Qo9;bZ%t!_~vr${r6%A#LeU?Jqby)rxJ ztXb+6@d)S$XvMv}%|J-?(T~_@ObUySc?jbY1>i2dAYu z-$_-7K&GL>$rtL|iad9laHrksT}6xnJ5fDECuR*iSZj`<@|`e(FeXQgsPzI?vA#?L z#bY?-j_46u!~brY?lc|`T(d0yZMNJVHa}UxYAQ4xrgn2|f}zrfPpuk18mN-Ki>_cLrP@PlaVW&-9mP~SfSJ*4_Oct5X%d$5(7X$?>K9y% zv%Yl$?_6G;NuITHC~&7YC&-&`l0F&YJfrOFHWv$%Yd5)wjJ2dK1w9pli`*z zSUwn1s|^-vs9>HaOBIN}*)YK=(>k{p4|R>{vIEnfCeU&u@u=~^iyahU5N2xEhLzvQ>3+vc;mA5B71w^{ zdo7ldoi9EgJA`t6Ksb^44cGIMBFR@$yKh0JSv;W^2D_-+oi&1N?bn9asId-cP&U#O zara~%-ZmK1>+z(b@5DmYp+8g$P^>(atWts8E?M+UyKYA>jp@-?XwuJX(&jUxyQY$$ z%wCj=eIO9zZH^yHY@8_>#MOL%Pa|f81eK6)?ppexY@76^BRDd0tu^6jp_-^}vdmLo zpak)!Ui=U=zlR4Gwl^S8&cryA3dBTx7c2m((vR-23yC)>{SMO*-%3IX9 z1HI%a7rfVHw`{djrjXi%jlFwn{*9g|LP{$7<>v&IB_v+Z;G){1+dc{pg$b>)=+j|2 zL@#Qyc1;StP2bh}=Yap3JjSFGZNO^>YacjtfQDDptnarR7n3irK!lQC^ZQ5W>#)L` z5Pk*G>*+mS&-+Afj_nRz>o3oc8D2LjgPLypPNxueW?!v3o=@=CJs!K<9$&AtfI?gk zVXx0W`Ij@?p9bAtf2%EsVN~;OZf(u~_E6h*``C5t4@2049AdqVTM z5ESsnkD@js8pc3Bws)DXH&zs50?mArXrDuuNo>`gy%cQS0EN^`GtZcauiu%c_v{)@ zNe*X$`Z*l|X=UxI)D-u&)~+WN?Hx@HuU1y$n_;ic4QJw!;LTQp7yS%GReEwUDub&j zKOP#x?;2G}xL6v;%ny(hza&IIfKk2lmkb=52E9s4L*Y1_Ie)KK)A~))blhVSH*82s zXD+bv;QBBttQ^8KG4ZNQXUUIO&~a3X0_q8g;j~M2md-Ii`OzmTMF9;A$+ZOhqen2t z0e^LXCZHTKRVH18ohANo?$`*(v4oDY>S}f<^|)<^?I4=IecIE#fJKwK*WE{6Se_&t zJ*K5%`lLAcGjl@GfGQtNu7$)rwRkceAmWYncXAp^N%=aGYl-bkXB0$Ug4p?=4$Y-)eGSem?}d-y>s=^i zZ8|A^_?^7+bE_z2vw5Xf$W87js1iJh$!_7hp#m{4E*NvSq_`AacSJIYNjtZ#`7Wfl zCV2YBUu$Ky_{^p;9cv}oajb8^!Gc}>sT#u=U)JhnmKl36T7r>m?+KOvGC95%;84PB zJ7M|4+J6nZS24B+1Wiq&8pXFtIE0WrcH*Qmew9RzfJWUG-Dno^CM0A|v(U7+u-ufU zqQ}PvGnk>FVy{!H3AE_7`4^N4Lj2kn4HrpG`NFRE>dnSpe4?>gRKnC!Rv5(fit|hK z;X_6p{;((zRkL)*cd(#hu8DaA9Eses!=OOt;;De}$PK7M_v$OCFDZ(vgC}ar9Yvgz zw4E~T7Z?4`nvy-|Hc56TzHJfTT;=({?GHXBR^C75Rb;4#=*H(1@oGv0(P`x4Duf~A zvqICweaI%*f9iMdb+$+*R1Q-R`P`-0Tek%SCHq5&1@0|P5T4`9+zN{iVv>++d0UsQ zM+qOPXCzah!^sABtqOjx36Hti&Nx@sl;;tRhN`UGGCCh=_EbvMkm*PU@{T3~ozrPo z09((LTvVz3Eu17~PAc-9X@I?9j5z+5?A-o#`KDg}Bw(E&yb>c#H|mW1f%eD*+n^cq--DK(i+<4Nn(1J7&>&TeLQxxkGQ4cQhmbHz7|19c z`!-x+V1FnzS2q?M^}TfoYr^dMRjxzeKBxDPM06!^dXfxlq_Q(MyO+*ITtZDL)K)A% zMWRDa(R^A8$zPe_+nU^@b6VXA+#Dg=e-?zx&cn4osFM6`9--!rXx%~JucC6tLW9M! zBiPf5%^)sFV~LnPs~kJ|!#SDhoL){-DXhyukmgMzhA)9y&wb5#_z6p{e1l2nKMgpzPtwm^ETK2b|5UwZ#nV%kq-kG_nASv0hiP9>uFeiIcRgP%1R&@ z0IFC4Yje*u1v%L|+?aV**Ctznx~c^Sm5*4bT4FYY1YFl9O_OIIfi5nHFV6HjxHpeM z_kd!STncEcZlRD;Fq16LKur-H>`{wB9*Ax4xGng@0-Zem_&~OKb<6Ppo5W90*QVK2 zz<3~O-HnhR1Z_mqd6x(NV|vY#VKHcNz+$>?r2@`g(1-Ljd{Y0IfKPB(+Fa&+d&3q^ z2vq%|?z||OJQ%CKs6&0kA@YY8{>YS|dI``;ue+qSDmC#sqkzZY~CZ+re|76bFh zy=mfVXQ`j|7t_ zxlzJRC^QcWQPdepFy^x$2Xv2UtmW`lTJK>>vpCXc(T9SryfMh7R=Ieg(nS*U7T5Wd zS|`u@9YlF~9^)8!sES`0A%AQ}+z3Vo+s*AD05C_q%cIbZAk?ujFBny9r?=gcKJMgp z6L=bPzuZBgvx!8ymY(E9DDzg-1P!Y0FP+@C{RNc}A3;}Nk1~tiN2=N7StVlAANUSR ziZ+_1!_7cu2RGGSadD^L3Otd*J~FDLu*Ji2@M7?{;V)rxVv zmUD@9SN%y!jRfBpj^N*wHnNJm8TdB$ckilqtNXftOSnB^3kudY?m2Pfj$Fsh%#4RX zuUlk@U2B_`=e>Z7APAdM>goq2YA!=uN43wRyXbz&y#3UGzgb4S!WSH_rQO%~Tbgx9$zKa*x z_D@G(!29FSkyEw9gh#QLOF_e?B#g%!xv%93;++6_K?0DDQSnk%Qcwc?V;_g$UcmU+ zOR^u>pL%0+qcu^$72mL5`tI#QrUU4~%|~2-D=Ma@WX(z-{V1AZa?~FXquQ}him_j(Pzb^KT>=TCzWgbXa(9r`2Qvq^ertG0 zlZhr1pUd3OeO6|9On!1wZxN{?RN7Cl#-WSygDm$&(Ikjgcg28gNspvhEuj80IvrFh z!KiO-5a0o_j9@HyIB(sEK%K!7BLsz(J`Sp6qEZr1LhMERc3okUL4Ya-{p_2aCFXp! zp4ZnAKWPV*I}iS?wc?HJn)lcTCA<)UXKYQ&pN7aBAea%X6w?O)#l2_c`O7nla%ohB{2x?X6CNjEdr{5nD{6- zr^6%cCUyYf*N2a9*##}gd6V{6g93SiWAW@^wZ2Fu=)ZRa>CVwp7PhWk6O9kCAhaG7yz(;6 zxFFve6{uMWfrDnVZ>m|m3ICFC&>fH3J8JS-tFf_DR)Ohp{(c}sDCv-_xH$dOr;cU1 z^TbqVuDhwixYxby`{O-=KlTE^LM`>w3k{mJfq@0vyB5}(TU+1YALs1vljhsroCjh@ zaM8zZi-0O^J>9utnlo28OSo8t_+McGENoXbzu=eO%KQK6)mZ$B*t@QE3lC#psc&a* zYoKHC=hjNs6ds0&9Y6>8bNA&7P{GC804Sql^!8K{s#0;Qk`{PZB5kSxM*Bv{6k@@Y8n;R(TY%eTtuVZfj3MDL0PX_>f z-XaCv(*r=CzkJEqTItCf*sB3$1cZPJ2F~_CQ45e9e1HA$|MerP0RTlPX`pYS!)N8J z1`0|CU}k5gWn=)bG11eqGiU&S{2=*2lG_28|4zo%%E9`dtO>vU0m=gvY;`Q{tlzSz z=K|!H2MQQCn&=tG3G;!XSJ2V519_3Rw{_67|4R*^$hf)xrLsRU|D!S?6LWh5TcD6R zD2Dk1UT02b%z8AG-r-%I0*u$3uV#wNtDdcfrG?jm?Ja8arR(z;?hfGiC+EZOd|GBVrXO-tjyrx?Rc^Px zYP7vhBmq6&*StJj^zTmt$|%&FuzZ~bYXqVML{oVPOwzbeY(wxnE3TDb{pS0a`bRC_ zMebvI^-MrDKT{VvmFwK1^lUyd2!v;96Hk>{R(MC4w!wd`M_1QeUD*=k&1lmO#5$Xa z^ysuargZN^yjxx)d?C~Pgp{Vn+~g7K0MgQ0jC`j_m5$3~jvJBoe&C zC#3=rIlqyBW;E^9$jiVQieOvMSng%!D?z-wnS)!)G_Lh?XVSij#JsH6nl&qT!Ka7K2uzrZ9j2d zWUdZ@lKvR{$heRKV;Q{BJ_FasV}YJu$dT0jq1(bNDtgS(Y;;bCND=|7V?_YWeOa*h zXNx#xE!O6UOl@&E#?2$7f+qo2eE)mMHV^IWjmLD*c@avqEBWU$ZgkYmk^sneM|zAH ziJb?}DVCtZ4*iyRgr(_V@F`!MqcG@bMLRy}J8^X+#_8F$>9Mn1KYsW-r&l>sz5Kz5 zO<}+Er2K%#Z9m0}$yNP&)7UFJUSy!4J@1q|&U7xd=**^- z5f7C*k59U1cZ5bohjfE4$yRZwWiYx~&H!1=L`u*~j>eJU$OfzAXDCV)0F-$xiRdv7 zEBg&GEB~-1+ze}P@xjIS-c?TX_p8Dh4bOHIw0=kVrkS!fn104FUkW!FAVfJc^P6#A zQjhM;G;fMAJBKW&wDCv`kddcSV8vc}mm?qFq%0en zdrg_|1fT(G_Ouk@Vlg))OC`j(g;qd7W3y!%MZi0Q)D3SfG66nV^W*t4nZnr^)(B+b z9Q~J>Xpw~Y0h!KwM%C0#9}|~Hgb?RibmotN^oVNNLoR(IjkaVyKg5;JoPbBSyH)%d z>B%RqO!9O{(YnIM87Sz9O3F*7(8vzE5tV`bV0*F~CpOo%Uc#gqQ`Y;sI_ss$_N^G% zM+wDyMNPHyJ|*F9{hwKT=($r-qJ}yiWffr8*O!j575-POItH-~_ zU*9o`FC~zBGkntM)wZ2KL?HtJ0U2IgFu6}voWFxNZNEyLXhYhX3lXX}UM4EQh&k#K zDoFKN_axHYKx#V|o<8F8SUEOge{U_rDT!$CtdoQ^yVbgTY~^`%Bn?^sbI+plBY`8$ zC1`$iBr9B<6HDHfek4PVZK}oKi8tdzy*sqJv!b%goX8RID-h_?EiW_}9~e*Q&S4;U zo=s?$zxxR(77?Dn97WHk@P+kIYR2Urvmue~2UeKQM91&CVwB%_^W};2N|fym&cNX# z=Wf}%2KtA{k~KM!3B=_IN_dF7b8hX@(%sDY_S$VyyMgsi?jMsof`dpN@ANq~ko4BM zQaNrm#9$O?O+w7z;W@}ekJ?+y$3pbFkI)N?QAh0kl=$1Nw&$J=ABWy=SMD);i0aDA zmOwfqHmwsM*Q`0k@W8RYZuqXgZ}?gOw_F0dW>~#2K}%BlGk>V1!up%m(NR4tKfg(P z(g(WZ9we!uJy!9$U-zdaiWQ1*PVwxYzAOZX>$}mB5ZtLOk}{HnrkEpUEjn!gbdGeX zwntYDm!%yU#hT;KvAzrALm}p4%pJq-hWa)gUZhjNaj0F@m^Vs4wy(Z#igEX}zlOaq z*kYeKi6V+g13HTvIm%jD?KstH1=1a^p-HxuGh3Tgpqj$C8RhQBy%6WVXRbZ^_PH7n zb%RB!Z=)zY&$4nS9MMcpg+!6DGcNFcO%`$RbT@IgrJKXt-&uft35r9wR1!a7_DBQD zL5bw2%d*z28DO`+@>h zY{qonoDh~X#_=oQclu~n3*+xFEN!Y&B)IyHm#r4dnM#K%A|yFG<-PS8Bc%pjIkyj* zUSnFj2gu!!gv7zOj+0aN%py0r;I^DhBq2BVj3u&|grskniND2t-K*4jp|zdFMD9Li z(hC9~xWb$(sOM+}?vJDrV_woE()Qf=b1&rg#8v;4kNHQoMB3RuxLP1J+!&&ida%Hx))G+_Fx(opq2P=yUVpXmTF|fp09@eL`{0+#6-f4B zKbQ8t)^p`Ci1c0K8}fRG6=3m6>cjcfqN!R17E_}5Z;m4LpW`8FfL9dS1)_A)`p3q_ znE^;4sbn7Y)xe0|pmOOkKl|uFF*1OE3u51bi#g$vk8X3ALXB=QuUkcl<AaFr?F{@~HdE`9hQkM4gEqMNumdJ=J<=m_h_U$vb&K}M zn4$xZnWHmG_76A&SlEf9aclQYPk+xy~WVxLp@y5jkX>8rCyR-o85win&~Z11x&(`9V012OJ< zk;!VpsMKX9brjguCal@ALj22d^{ZXrDr&(padpClzU#1g_5+dTBMYTx$)Ft1@ZLf7 zo_O0!NOS(aS>fT~)EW?<7OcAS09U?w zk`VmrT8azoT!#b?_8!3#vFem5)L61Q;`iqllX@t+09r- zEL$NeciBn$GTow6;p$=01*26QtJHV>zX%jv>aP*$hd5%u=KP`ANFj1Oz6>S@MtA%A z%WOyK$ha+NC(8i5WmacoI0{4%^YvgjQM2$rRCf%(q~KD1hW>pmDwppUdL_e{O+1qS zo$dS-igfIA725JA= znp`$=lw<%5Q$0jbd`mtoalpts_HJgF<8G76?A!$MaFg*XmX_)L^rier=AUP~y!WT0 zKa@mdX(ARz1mx8ExZ#_rD9ZAcd4m<@%rUoBS|v!$0Ym#|cjWRHq{WTSGNb8dth zXuJ~Atqu%Wa}2Mn6InClm$GK=1bB=Fb*FzZo^rz*Ik$B5{^%XtX7Qw=ND!9~j$PT6 zx(a?>zanoyL%$(wW)%BcRl!mE8t7`!eAO<$5BWjuXKghyTLrTX0eA`XWT7_4QN|eU zZX*>sI|U(LqKURATXIRlAM)ld+ux{1`RT3C`&qWVnrZ>mJMp0nz4G&*EL`^ z*9>&i2ZDf3TlnH7dw7vptts&}&SK3jYK|ha!G{k?o3aNx8!3vF_Y&uiN$7H=vm?2Q z@#m_u3<|34y9}g7ns+lC(j`g$$udAr39x-g%>i}Uib4-SBuo+{i zS-vp{TCg#4bkOwK+K$QbzWdp+FouC*6d=RZX^Dp&6YBH_Tfq70EkIw`X@? zgdyK$fu!v#P5t1}7Wa%KOc&yMj3i!+wu;1EjhXrZLoP4%L)1p5W5nhCpgTrKwCYX| zmuZwKf_ktzGbCOwWj&Sa$5PWu4_$)#61Seho8P-#`5%Amb}b&k^nXQtDW2-)gW-dK zMd`5=Mbw@-X=jumN0Mp1-Osj{h`|#ZM0F%@rsn~Zb31V?(k9NDClgITpq%0#^N*!Y zxqUW6jivec^Dn^jK5bT~~>Z9am`EaB<{jRe_-T)Gc; zg^4s^~*369(1A;#=-m4s(iZAa$t_>r3!{V)ejPNDcqKcTurBiWaB4k zi^HVcPmfh#S9EWw0nF2Ds(7!97N(4qw&)Ii{jn2`6u3kXu8ANXtfgYDEwXpEh+mw> zSC~W{FzzFoH9cZF8DfC@e&2xk_xk`i$UysX*9c*TP>jrU%?DHtNKzk5ym+m;A4;sU z?cS&psE3gh-_KS8K23$im9KnM&-_s=o%6FY!+vc&$|@zP-RA`w?ZNHN8|Ha#<{ZAP z){3HH;yP9r26p}0hu!FuKJOQqUvf?*>A2+A)oknw=(Dw;bo_d1$cLgJBRLafWHdJS zv8+o?`CDpOwKBUEZZOF)oB4V07kTUu2GSmB37NC*Z#xP6 zC%VJ;$q<7ii$rcO@JYNqKvxo!=JgC}U$QA?XaC~H&&wW`?ld3iepz|=Z}C5YHbu_a zjk7Y;WMt6>tZZ^H-%(1j#=e}Q)7Ev|(~vYAy+Fz>u+VLEg6P$zCyP!1)AKJ0LHBT# z7YPp3h||XKLcl4qvHMJet^r@lzLz5(h+N`u3M}K9FQ^}86q=2$mkhj@f=jXVo!UQ$ zH?6Leo}8^fz^sqpO#SpB%GtZ%r@@oGhhqpk9odlWC0pR$@Sj=CHDdRZP~Az~@DbLN zlvJuILC6}#o358o;PjC2L|iOR=1^WnBGnZ2O2x$Qy5#T&KAK*q7fC%P4;ax} zUf>7tQhg~UA|}5Monw({Ha0#B=TEUq#^2e4e$^aa3`el&!Ona&M^}_J_rAf5Ue8td z5>DY+f?!SjOO7^mBkc)!6wfm|>e4$b4co@C%dik})5lD$TgL2S_5H^+Uq}>|SyQKI zGhz=VN59~)_Zr@42kro3f$>ixfQzbL>BAHA#OC7RC8tOqer3g=b}nb)*KYQ2eg;&T z-x+a`XM*S#bNK_bhpYe5;|G?MZV*W?h|2@9W#K1)V zpZI#ehME<2BZ?>Muh%LdcwSC+Qsd4=7J0O|TAs+#cTz$8*vl;P8X|=TITfiT?(gfK zY;8vseaosj?l3d;`206siNW;>EJKHln zZ#AyB7pD&aAf7`;fO>_#x|dhZYxXEE^EoG#wTb!v(QLq(?%{C zk;wG@?^7D#xm`pykHwrrn>Aw_agpV>no z;oZStr96j9eEmoH&rYMU%gmo`SkdC(lbL|!Z5z-#QDRhPF~JW{v%14$0ATm@p^4c` zONMTV5wG;1yKJ|YC65oByD86ITU+~wean`_X~T;N^HS2-!w$>g$beXMQFaF9(o-$& z@{%y6Rk?wna!ol=;PXHn-Vqs6E!=yfF} zOz!C$P_G1LEO>nN9)I5bA{69wcX3z=?e1XrX9^w)W?!F+=ee(_`T!MEG(ZozQnI5H z=&7-E=`Smw%g>x$zS`cVz(TzTQ#i-6<^3p*O=Q;B?9my~idnUMXkm>NIJbd_?73^i5{ z{Rb}Pms2<%=GSo+q_h#Q&`NRUcdouYf$kqvOKFs+rDuj28P0kSj56U|*Df-7Pjhn~+PAzY*_f&N zevVXO)a{>qPbGDH%hmgl@llTu>AF~zW+}HQ6^cAQG*TEfG3tHeGoq>6(>vd;Gsae< zX7%QyFUttrz>YvvW}j!?pJkgN5LEtQrjIi;@{Ec<8Xc>#@*ZW}X=dtoBY5pP?4i_f zw4jTT(Y6SIbsrZ)k^lwPrWes>bVcX(VRU7*RWL?p!uJ{s<;=+NY3ElMY?p2~PbSxR zH9e+Wuenbw>AC1p_HN|w??Z@WXhwr1-xCBBhIM8&tg~_~aXav+BUx_n_{rCAW~m?Y zPi4u56>||S(6WY^x=g2I8mAT_^6^|lSpd}Z*l-??r^_!!OD5Gxjqho-Drir;!j`U@ zxgP~Alyz+<}%WX^!QCp^#`17NLIAnV%JKaqX( z>d&t9fq&s=e`g0hi6MJi-P97;{lZp+Fs>4O05PtD>X`YfB$vcyYz61co!k@uV_)E} z*O6$FfYQJpD~VC+i+l%eMLz=4-I@1thTjr_$(E* zY}REWU1ag{D>E6dsTSxMhjd^SgyxmA?vx`HQ=}&-6`~s|XxvZMhqg^8bF?Qhe}kct z98211rsZWQdHp!k60yno9gv_{_{*DYDkPx2)xw2C8p*SXJjXP@<_EQ6)K!8+sj#c> z7)pN;T6GM1XG%dlQ4Aqe{JxK6*r$)fP@6FnxC3(M#Qf|&YIC3AfMH~^OGpb#T%Spq zU_Rw+ji3pI^aRL8bOpO9e(9udwHhG1tY1@_P;xRgTa`-Oov^y{$a!#8&dGZ5*F&vE zW&r1V;IFhSNVRp6dwEx}G<>R#+8V(0>GSsiNRx9NN0eRYV0`;ht}W!aTMgNH$2nYC zizHXIW?x(G<3@@7-c4cyEd}_jgqU}2r{g16h>X6L8IOK-@?F~48550huaptP2c7Zo zx;%K2)U@?xvzi(ny9qWvtzql(^a)6U)NrG`c*&4SEaG%2h_I}o*wfnHsa5nlBZ^oh zjpw`;z55j9O7tp|LRV`JuobWo(}Gl$Dcn*}s_(Is4pUUlunfZ>@gb*>E(PvQaWufE z&d?3H+(cDXTfOA~=<-_brn5JKbFvPcNu3At8|h`soCp1tom|%rA9hLMdK#9@TAwg& z-BM#osEK=I4>OF6qp6LAOS|X~@-84f-yc}uQ>xogWe>CDNEuzqugs&Evk54dQ~Y?y zxy+#IpDNY8ZuAWATYHLc5UqC4^4CdoenwlNPT|bEhsda-V~z`ZF)cn&w9WdMl&O6> z4>cn|Bh)_|qI$X^98mDuCFHRX8lNpt;Up9flT2p;MHnHICN=P@&{)5|8OGz`kWF5k zN1YEkNbq=G13e=fA|oQWX%yFVHS3xU1A&boJqL z%bi1WN7_=Q#1f_E{cw?(vhBA1VUaus8m5wY^4!A))+n(*onmO3&p`SweGltM6Krsc z1dS9+8rfqj4ow>SjPH(7^C>(PV~n8%KLdJtalTkzJI8B$W~_$}O|IYT^T8LTK`NA@ z_#RTUD=K`k7L$ubriklfRunu`kc_XbYDJ(ldyAChMT7ct!eJRQe`{KK! z!#gilS#Rm9bq?EgNiHLNBhG%Bl76mLk8|f*4bAc0<%5t1an>rAZ`Y*ufmRzq(KWL| z>YiL;e5c~&{_trYm~yPvxbX)NI6YOL-UVrI9KNrt_w&4JgcM7ONrC=JN^#4$zFXui zKMno+#L2+%+_y*rdMo!VXK^shewi(a-uYcr4|0&DhWBar#dHc+Gc-}J54yI<8L>7m zIvNCwV47pnnGC@yTM$e~J&#{d#_PpHkJCg9*0%z=lxyx6AF_Le;g%q;r8S;htUX#A zl$YkKC%Zf$l@D_fHxsm@&EKS`sRhqRMD)+7CL?+)JMcGPeaNbCu09EnK4$G^QDOEs z*-IN<&7C9klr2MUg~BTPxxEXr`G9FACTEBU2`&VW7vMM5+pWJ$jR5m5N*OK(YVhaD zS1!I&Tn{dnxb}JIq60;2EhV0Cto${0`HoljBu>OZ{coiPUy^V$6e+q zHBrEuK74~l81bmn;{y{`t&esyoSkD{{=h~x`C%ne0`lXzC3P4419FE<5Jm+)q<98u zc+;uagCeJb=#9Rx6;38tQ;|1g(*h9YJn5uHjCIm$GlN4d0*e z5{BABmei25&e8M6%Tpmuqej%Cm|!Ix3}maXOMf4@e$F#q$top}7`gf*$k*n-1j%|6 zWTyzndRM>C7I7!mqLzG+4d*8Uv^d-j!i=8m_;j3bv!;$ECM)+a3AT~!crCi!P_}6h z;Q1wy1I7{ZV5epHj$6|fz_2FX1KHYJ=AgZ&QX2J`YweU(qezn*UGvzLt{Qssn}Y8k zTp)0Uq{YU1{x%Napur<5H}8Z-=CGe}^xK5B=xj(`y$e&qspjk16)i^oZV`Zo{Pkdn~#^#Z(yWze4>V|vZdZSC6o9tFZ zJ3>GBKnm3YgJhhlhZO=hC}F?#wegg!o4(aE7N_=7Y}}3_kKWD!Q-|DoQ1B8xdUu$TsSWT{mW`wD*__#A9bO9Y zg62~czUlDx^8GjlD3@yJ}Kaf1ky39wr9%}6j z*-q8Q98zA>#-v~7ln8XgNPHT@iIdgj_!wEKbS53OAo%y4TCl$oPhEd_$Db^{mhAb= zTY|5g_SHEeSoM3(&7;q+vi+<~I)_?mRA>x08xqMP%U&g()WT81vti^g3{i~fhCU$@rbBU3`_ zP*dHenfAokMk+z4DIC^Qp5H0mLh4Gzc=R;Hbttz(UWHDG5=xi^x$^bMC0OrG5PGX7 zbWkK~iAj-iBtJF%_#%{$Rd8&a+0F{b#oOkquT<;d!QT9KP6ZKM`h^io1 zNWc_fZ>tCee$@I#_UaH|fc;&6s2#R3s;BPaMP3k=01hB3H`N|huidD|S?x=?m z?cf#rRq=*RBT-|e7f9=8>Y=wF_)COnmPMTQePl8H&FehjAVqD;3tps6(GDqL-2R6` zwDZJ80sPQJg#sRd1j(yg>yVL5q2*u!e6|dc`mx>nXf^9Y3^2UH(cSy%NN7@5@VR|X zLaG>c6A}9@ly>By?@YuGHX&=l`chaep0Q-`>7pTVfonN4qJGB|9#hdQ!&1Qr0bQ8h zkE!e(XnHUd(2Ti4g#4?zj`r(=vrU++gqWrn)6*XK^IL>H!TLp+)1TO`e+w+o_30f| zVSn?*b@aQC*5N>&bK{W$l-Dr%NWhhU5=F519`6+JV`)k$SmCu(n9<3kmF=bw!99CD z<7Y`knSauq>?ow_aZna?$LHXQgo3cW`P$L|te0Ac9fc>vV>Lq3|2>fO2J`#_Zu|j~ z-WZiYc?VtlH}d713muS8$Ijp{XBP`yD|1N&pr9p)T4-Wv1QgW=u^Ub7U1&r=tVKIL z5TjGa(*Dgah@|)z`BK5^o2AJc5fQ-3_y<$^4{YVl&!6PgKm^4%a7Y92Z_LIwmw$x& zUxoNbNPba)w-n!GW%!FT`bSuhvx1edsDPx7^?!*86i@;YKI!Oypoj7AqqLzkM z0Or4;FiKoXCv_bxlHYflflB$sj zh-&FV&Z}>wYXEYPcd)iLH?Vl4vC{oVOmC_D6Vrc{z`w-!LvfYTu`mG2|D$~nyVJ-H zz{mg;wbwB>(c`r=GB*Iw0eRo_Z~vA*=D!QW9zerD&jRGvu@*5fF*3FXu&^@%c^!?E zO!PsFP!^CLBz2ts`a@4o&-f=PrN4YKfgURV9g2aO4n#mTuuudA`QwN0Z@%kW>>$!C zJ>y%%Ahzq9-a!bN0Ru>7e@g#9GWrJo{YOUs1_=MFw*7$#fq%)v%*qPQpNYMljDan`m4&sHqF~@upb5h}_){lP2`V_;%wmxsP z)_DJYTo!w8Fa^_t8;ad-chn`bSSO=>kdZMJ;{Qk1wSQL*&nx3p5Exk3ib&g)7oi6m z7+BkmA0l_MV|%vN!9-u5fP;gBj*gCj!A_}CRqW zshc+(juz;f=OzHd@TY6-X38!*!)WZpuZR#}bUYGibRG>YxUc7x279k+SNQl34Kd9N z(}mIo8!yj~;F`yvMS3NSM&oSh!fJbTb-<0lO$F~-LP?S6I@HK8SqyQzG-T%EiJj8tTguqA#&nG78Ddjzreo(%bDRc z(s@m*dcE`t3k`)!b8vF1RH+r(m0=jFSv2?a^P{1mNn^2yg`U6Mo47q$Ep3NRe43f) zxq?1CIEYOvYR}KhYr7B=7q@F&4QwBBtd+<~_Jw?^0Wfl=L|2Yn{)MwKIkO1QpNIEmE_ZsbWW$?wTsJ315cy1w9^2A<`5zw0YV6ehq z&|whu|AV!!j;rG9-aQJ^NQVg04N}tGAdNH%97?*orMo*sLPAoyLAo2Ik&qIkyY2?R z?|a{S@9)MR*U#}d&Y9V>W5u(cwPvQe+SJsv(P=lfPuska{pQW5l}(J;;food@Va)1gPq;zR=H^g7`Zg{e)-9# zjg5^PZc>j3{i1qS4;JuFSn@Hj2Z_eA562$EUsdgBNB_K?>GhvZ}zNs*S|Qo189i z8V6iImbruAvx z(qjEhRS(}T!43RM3Vg;*i`a6`6F4wUudxMaQ?T-4DHOKAOV(JK=9=Bli0{rNmui1< zH@94@r^Uy|SH2%H^P-UL?WTjjI^7)@7~pl#+`v`ZqRfQ80hg3);a-o2C~NFl9p`+QqF$OG|eVb6fTCfz5!Q*H)Q(AEl5eu)nDyQUi-J3o zhjS<2rmT0zgn$-$_2a915mHh*&!s?gzX^1EGML1?WM6R`cHwn>Ah{KDEKfx>ki_)k zS|)k^?Z*asI=V&gJI@mt&XmLJWi;>6Cfz3{l{0J4bn^HjA|lM(t4Dy5>7z+?)~eF) zLYlG5%Q+modnrq$w(V?VXP=Q zfR>&R5OJ~PxN!z1iZ9kZ6HN-`W-I$ZSnf8wZ#NVKZzu+Ga&o+=Wc%xYVwx^3cLaHk ztijop3!m+)`6$bF^~a5<0#G6%qL#g@s{!3xGFR59?4`vK zgO8^==YN7-6}|t++>a1_zZC;Vlp*xtLOUnFe*jTR3gsqz>e{VV8IpooGx__h_3mb| z*`5DIl$P$hcZ}jTJQ-kSRo}DsTD%k#T(YVyN-zt}KXpC(^Dc$BPqiY`XdtmK&U+sb z3zH#jh{~B12+Pw!zEG&UcjUk1k!ND5T<2diJQzhyxnw=`n7lTD+T%!Ih@O(LrE=(( z)(L%XbhN>K#-BeUG+r-`s?PLhg>P5{Uoaj$2L`4+ZaiHDtY2Q<_sPk0ZhMJ!uCA`G zue`4zE>&W!dbbe;`1()(3sdzU77TCge()B{(ulXKW0*JpX$8^2PlzxOvDf=|L!a~^ zKEWhVh>z6P))p;2{hOuNdN#nXRMe&{jVZK4AQ+_5c03vN_4NQnaO!F+D=Vw2P8Ap| zQc<*)<${yzzZ4d7dtM%Y7rMRSI$k}!vkuhH(lfwe*%h{h8W{8iV;bFqZj{?lhKM!WV8uWaY zN%GJ&<&3+$bUUiWj|K-MP)N8tfZiV-9-_Ds5D=JIT27S}mX->*?0QYgHF z;qRp$I{f!iYE<`K^cf|+@16Ml$^9#T+a>$&UDV8EjtG6!=E1_j@%{VvbsMgV%F4=$ z3OjrIGM#1~E-sUy&HICW4h{|g4y2}}l&(olOKWWU$mbfHlmz6W;rRIY_V(6>_+EGh zRjsYd#J-f3S?8hZ0Q_J%$B{IE^SvWjs{IvMC}g2JYW@4>=nM=D)SgO$K7A`FEL7UC z#>T_*{y8-}Yc@0*^ZI_cB&QjCuH#m<=8L*s(uyEM{zAYbIXU^C?v#gzqnsd@?X}+N zRLfFOQ%8k|YcTVG4!|<-^Lv_@NZ|s3K|)5J>JNy%AD>Y8)%DSc!biSB9r2y5tr+%0 zHd4}WsbkV$67he3FNuri%8=J|YFw!BxVdyR52ON9MzS^XnHV3x++x1>VItA5F0ZcK zmyCz%?nhAm@#BjvDGv{iSb00U3ig~hun28CPVSI8ZkC=jjf~Mw7l_mvfz-M=<)jM&|>gnR-Wc1#Q;p@Y?)DZAs5_E%sGEZMcSXJALA^{P{2>-kDp+bL6A84v(~W1@aU67jx# z*I;;ykA6Q~AtNIrGcz+$(T<-#KY87Zjw;H?$Vf;8b}Zu)6QfydoSdBeOS8d%QPad# zALi_bUykyuG~<;6p?3Fxl@rV&dX5z3bHKav+kY zw>&L)FM4caiT6tlxfcDmo~U3ytQG)z>FI&>b=RpmqAf;O#J%4W(X8n#sSO zbdTN}4IfoiRnOatjf$TRJW~UK&VS~?z`q(l$V>8ql{)e6Z<%B%dHEO5pI7P~o5(+0 zzro1Ru)z7l7M5}4%;VdJuAWJt**(lao_bSC{$mSA2YJ*LpD=c_fvU zW3Of9i9({H6vn^q zRCjLvJx6P1_Y{PtQW5fCjvo#5_U^mz#SlD5DX<=3QgI8%49Fg2&}3?I(y(&IkSFm% zLQO4^UGzaifOv!Gb~saT>43xhK@)rcrmbK2BNg$2{=uk;WdZ=9eeGn-f8V9)>FMN& zEsJ|e9{Q`UdQXGM7dwqBHQC%yC?9Mv5jZed>A^}-D}3x7DSf74kMcF)LGQ6QcXz>j zBm`t?14sq-LtG3)N6EEQlG^-85Q+Q7eJiLa++^U3Wb zR(1-&|Dq{m4`W{Wt*sgUZDTzY57SS$9YW$Or4fC9Kqv(RCnx8)B-jV}`q#AYJjh>p z`GbkRU+|w1%|ofe20RZ_BsEuOy9#d|78X`%Z1OPL9%Ape%F3)DRK5ORrswzH=^4%v z4e~l)4*F*eo)ZuNN5ABCW$EpGhfMU(oN^OJx?rUZ84qn9kvv@LNeEa|-&b5*)5}v! zOV+<0Y*;v$X_?oUSXe;l4M`aphbpo*B>&FE{(|$;ueG)FMFb9R?k&4|4y*ZpOfPfe zf|ZwU@?dZAML6w!r#0N%F5B9Cphk9fhrC$d=jXu)lvPxYr_^-{k6fT&0i9eII; zAi)O~Ar><&DKp=`SMGRdw!&!OUUlbsVRygz!B_4D-4AZbsxH1vFJZ4D^%E_j6l!)q{QxXaae(388!dPDMyUFOr;2#anK~jODh(g<>us}TeN~mpc3#ca&Q83gp*lA=w^O6%Y#io>?t?HaJ8zDX z=1dZ@;E4QlcQA{U z=>gnmK<<}O58zSa*`k=8Cz(|2)nR)lUx{SUC z7Hd6M>_IugYERD2)KyhkkdYAqn1KGW;aYK|&`C*20R+CLkDhK%8jGR1xIhF#h&{(;k3PR?DUTj781Cfi1Fx zF4y0-wBEhTknQAq5%qc+Xr7~EwZlL56kjruP$}P@f|9aNVPNq`@x7@UHN*uW^wi9Z z=}diazwci_mYc_KnUkO2^N$$@Yl-*r?P%Erpaxf0R{p^%z*=1NQD+(&8KI)0dfwff zjmHC0V0js8E}?7kw4XjU7bgVRsV%xZ z`2IJ1>EyMJi$E{UXl7)vY6#&J4DQCnp2snu)#!&-gN-N{?rGkylE%;|V!@A|&uiyy zy6|-U0T|H8MFY@Y`TvN4ezP#_KiI>^zYbjb2L^x@7P#K;peTEQJatV?O}DpR$WMLz z!IB`1@9&q-?Rp)o1jv3XCQN$RH@&u&D_aLF9`My5Zr3~5q_}s8=+VG%d|@(=jEbtA zv8afSjDzNEt8bv?{w?-69FIu?n)9*}T~a~OSs`M*G0P^dh)Mdzn**+!jT3T)a~%j z?rw?u4qkwnPA&qm#^U1Qdz~gG24Ao;{+;(Xi46%+$VLGqzi$B+7AA)I9v*xnhhhM4 z+ollp9`}nsVDysWOy+i3N96NmBw6l9GO>RRimO1dkBM3_0N~nT=8oFh+J@3NPs~eon$2#TpChK> zB7#%QEG&RQReS%V3^)bwE|m)9>^%96O%MY`KdIRO0=D%NP4N2DOEaXOu)J} z+O7u9*W~4`gLnj^ZUll}0z3w969_*`2%TS0%O2f&f6B^Q9!z0lodfGTxkw?Kr@~5Z zz8^c-ry!jy`+c&+P}q%{O@GNeWSoIEbw@0b({k2uW&ze`v)230OFHCwLy(qM8rM)q zZ)TJwm3c^oCFSKa%+u?OBVc>LpC2y4Nozo%Sq;nIOSFyF ztL-<20pGUeOI6vCJiwGH$^Q7ztW~zbfKOiHJi!NuLW^O2mmEu(je*p-d zP=2=Pu5i>sq+kr){(?m<@8Im5hDBvx3)Ea0bN#?&$EmTnte`*xWDeYfF(D*tTVvN_ z0j%V}YlA3ALm@ee!eT62d|=7M%#47L5MaH8J{4i%51j>BS;9vxQZxY#&U+t=mzrGd zy}WKez`(!S3n31?kBj=?VwqF00ZKPtd?R3dX-5drNFJY<7+MlbNl%w;7ZJ%A&f?_Z z`7+Fyo}A3w`eRP0CMLA7{-gii=2#AtM;;O^zA=)S)aPqW#=**Z2q132)vlhvkpNUU z)}_#&@sinn%$ss=u6B&?}iN;8X#%&(Fd_YOYqSL0SUf;@e8&A&|l}te`sj4e|juC^AlD>V1Pn z1qD(sU!P2FU9J=L8w1kM+|)FNpMruS9dJ73SP`1|J_RhVUIEhQ{g4J2OJL~5@NZ@c zSt?00O76`eKm?j70R*d|->P=NV;dMI zkf})w8z%~hye=*%h_2cip-$(30vf92l3(4{_5g4rsJvr~O-9clWRd>j&pXu3zGx;R z%`gC%wvSZ47-(pFjxR=GiNN(t{>nf!2$T@f)o3fj_rY30`}{&06@8-wcuf%aK38A z7zAfP5IChZ)(6)F*S-_NAtHx32U<{7S68!k%vPHx4K}SU-CP`PtXt;F1)&i?y~KZ{ z*Fp*<#kT1M$ejdAD%lHZBcNcAMR*4Be~ySuF9HWy7Hww`>V=RHN+lcJ@5V)}#3zOE zQ6vQp80>bTVDJUIVf_Gx0=of*q5-?_18EgfL-OxytQ^Evpr#3pEPpV9<^ZVy%r zS+Y0phRzHU%uT^S<b1Lp3St*? zb8}Ssn|j?!Vp(QRcyucYhCqJ`!wCwDB{Z3&m!2D_H#1Z&uyoy|mck**LMK@+R%Cd#Ecf zSES+v`RKVnC!1sB_4g960g`(j=j9#C!1yS0T3cAehlz`eTh%r;HF=nsy;kc#3_!*+ z78H?A79hmI!2zKeYbRjTrwF)TaKjy8497AbVf4Q+40@R)^SwZn6)mfE!2UK2P7e_i zH%CO1Wr6{4IEL@v7vUXnSWJ&DE|xa;3I7j{BUkLN<6t$7)s~(GwvwT$stOw$duOgz zAy36_E;Ti^(Rpv!%O%hs1hUe}h6vIjT5*|~OfV@?Hi`ZzQHr>UjM`K|oLpSV(a|KF zoM>4r$S~P25X0Vmkn6ejAhp%g`+zY?yer#~Bg6m;YVRSdDAb4@C+G&v~px@5?*bxoy`-_qxR*DH2l41Z+q!07LGb#*lxDtwa*!Y z#&<`zugyU?zWtEsyD1KvH0LIa3+gMKi4kqK=!Ia=i{ys zuKmxpN0tpjz=!q0Riq~-0{*1f6=cw*<1*nZLGcC1oB-p{KR>xbzDG+b}i3W~BieWyFihhKU z0GMvTk^ri1wI>SDOh<4asq@l3?IJBo3V%Hm9H9&>-GWuC*G+@}4&WVVX=&F(rGEmZ zh`@31iWG`~0xq2lND^RD0YAT#svvN&HfP<+Zb5}r1qvbtTj((Z_0U-%_5g#Q>@SPn zq=HaMO+^KtW3KXjl+AdVD@z9l1xgm+$_Js#rPWNuuj8$WKuZA^ZI>vV zBccfw$nEdnzX9!MpsJc6`25F@A9#3p&(&fX{ejusm%opR=XTj{V$DrV3aYDBTk{$k zE`NUW3mlTdZ}$h2-B-Khm6nz^H#cXl;SKVA{r#^s0R;<23_P0pZ$RjSQj&(#Q>Tto z3KXb#F9e^@>-rofZhTz1{fI;Zpo^nHvMZnOux4Oj(5Wa;j>3^rNTFf3?!Vvj01C{&+4-#AenW)YN{AO= zw0(Ov$2E{-1#Cl^ZtJQ29mswIM;Dk7^pq%dPN~I{;?WW=0yk#hg=DG`O3LavAj6d3 zyaCYNT+;}%P+GdUP04B7xNwh;?C3RDZMa^(tz40ooxPZpJiPH+cN*?~isDg*29wqI;x>jwhtOge(^fqrcxGXdOF3vJ?hn(Doiv9sXFZ;|1T+-w`%l|5(n zix3DN;+5*{$r{UL;gUKKa6B>IbL zK>Ot-53HcqHGVyQ?6*!_s(2XeDqrYO6?5#$XuFg|w!38ZsYo_WCa?3;(hmgK`-hh& zzX9{8kJZlA-`|aJ7`z6cNpHR4eN23D;kp2m{mc!UUFwe>0e*Amw2JD;gq3_Qmg$$No=YHW(!> zeC2XL$Dik^;S{5`kf%O*{J~QrS(zEGV7EP2PbB>VuEZ^Gauu_W9Kr%6U^$zcv*DOV z(z)NLs#3;cBtJRK$dQb?1;r&Mfw{6jFq(AArhfw_)7{-23}{7tfAky>&LIgM?VwQ5 zCvtQ(hFo&Av6QY(8z5m&BM1Ek(!(HUf2oc92JyQSRGFD{SO}S149`%5ERf#ZP;y;>FYxh4UkK|Yz4=pcqk~iNLRHec=#8qut+oN3!xM8|Eo|A~h|zY08Tgx<8z~Kt zV)PfILPr3JTGctYtlQJwxnc7;PE+b+bS->R|L9i%xDp7Y6mnSs7$OMLZ%m;6=py6T ze}AG}U<|)p2zdBVE}XoFY~A82wl@C#}$b3Xd<&R#WJO6YU zw1PnTfBhYi;?T!F|Q^4)m#M*kVY!(zn1av?rogEw)n3#AeXW}{7EI}xx^b9Eg zB+(Cl|6t0ec&=~Epa+0RWhEO9Y!8FElQV-_=g9D|{t`%9N>NmN{Tk!(l}BStkd2M} z*xAvsq_mVuxA^II>T3{=g1mChmzz}3+_VLtha(P7FaXGYlz_q8Zb_^;(%bJ?rg4-;HGuqqRPfi^3 z{qN9zW4(Y9-?ak>>_Q$}rXGQF!`$-m<42Y!PeX)IiFyUgZP!2OM#sFQ3v#hA7 z*k9~RwDAY^Av~XN&}MI^jZIBysi`-v&h{!OZ}HG039-uB2Q}J20*(Z|?UEaZVKCd+ zxkq1|FL5H7PvdUD7{FcNK^-OP+gAFl&CTDqsX02HY;G37R8ZE)o&#zCxd~JbUuA4OyI}d^*?I!U7{*ZA}fZxP)GBiZ?Ssxd^CE_`Vb#$57%8 zt_`_`s;jB(w?-r38Y@*c8hj;tu`GjA3=sG1++19tdPD>kT!(P7xO@ynVS~nxC{+_9 zBTA%Fjb!ng(eNrFB?Q{?j`sp_AOSA9omKqpb#Y6}qG4KBm-wK^V73j{&e>H^U?AbB zh4LKnTrh)$ySugusE3A$4F9ZD!Tua-%n*zHm09~$BRlSM1uo;5p4G9^wzyEo8=F$V zuy<+{{{o4pxB^wQuuIaGrY6F>E|w%PNnXK%AXgzN+0)hriS(<5kkZtLvc-J;`V~}F zc>ZVti7`M(VIx5iL!a~kteH#BL zbRoj|?dxO5zSR2Ayy0zQgI@15gn0+!ad*ql%j<4wDfb-|NC>zdcFLxKn%pVw%#R<{ zn)Ca*LvJ;%MO}>sKuL6?i_+|%1_iA?w8q5AoZ)+yLHm`5K<#=s&JDsXfYtqQ0BNk) zQ}&c+6F+Jd{?N1f!6k^XE!2zQJb|sZ zUYnh*Z)DV^+1L8j`Uas1Y7Td}dT2P7q5>yu87k7qYY@}Ha-e23yh z5V&Z9VnFRB`Gn+WVM}{Vp?-G=N;c;!B}nwC?}!Ilx>`N2)UIq?cYfu%&|fMMM@T@w zA>^9)v<7z%mo4q2?ptXl+3C^S9xaS^o$j?wLxqV`(2#g#WSVf@i!Sqglw0giTZ;uvSaqnwe_M+TMD z-#yRmSiKN3?tM;84(cH=L97_h@$rTH0Gb2@9+-n(4!~PZBQuu0t=ZBF$wHy!y?`eE)B}>Q_m-l)J{~uZ;rWS4E;BGBX8?f% zzn)Eg?{%k<^({czlO$oT2*4N!H3hnwn!>#aQJRuFL&L+kBRjZ1It|qV>LM(`ns6se>DNQ0;B<$?qq?xP~C4r>0ci^)2?LzZ@1d*)0k~vCZ1~H1jmw(eW z_JS`AGO4evh5v18Z5_0whi9lfk|~%pqJ!7g-rdt9u4xJ-6UH(c3!p(mLlX^ut@HSq z7HWtjwRv8CzW9YTqin4tc>sRn(q0mLK0qnKyFju4umiYC3784s_SFLC0|K5LR8w86 zda0UOS;=c)W;ZmU1QQ)#!ecPSeCnHHi-z6O!rxwYJ1l1=STm9U>q28qg5lo*rI71S zU0Kmj5xPkTcm@^({e;=-LcAPwaH1Un)ViqPa`5nIsi;sieFD5uW25#YviHpFY-m@G zt(DbFWsa|=c6ONZ{u=K<`h04Nl8!t^%E;(5p9P4#xh79fPeGvMz=)FJ*1Y)b+c%AC zeR4Ov#Vq;`DL*rZUeo}0eXJdjB&J43=q+LXt;bxzhl9w#ARHw@jpPY}7G_+|V?`QR zg@<8B6fmH$%&Z;+GaZp_2`L8(?VeqMx&fo($Sy&M2#;T)Kqi{Dcwlu+jhd3uBY3eJ zK&-_J`hKmea{=#h^YwjP<$d-iqcosv<&2MHXBB}N+0~#m2IU>97T}L!P>CV|j;(HJ zpyOm@V(PF`L^Kaesf#w5%!v`f_OLA<=LW8BlG zsrpqezQWkUh&#h-DP}s*q|~RK7*S}&ZTVJ}#JU|iU=&ACi&@**gma^}RGZB&wOG}P zdE8osv#WDeq?BeT*>VRl|GBV1Z(+5DYZ9YPrdofKO;|g#4->!E6hXVG+;`^9-O*erz|{(Uuj4$2p11 zJq;K$h%9e8O-Cju=P7i$d4)SjVK$cf^U|?=IRq&wA@-(XH7r~o>8qGg#m}f*@&;m=h8z=A*wp&+BDPD~CSqoN zn=L?H(C#<8{Zywg>b-b*z}la`1uDYIVwp63=-CrAQP% z)SbR%c@r_A^<*Ba&G{37SV*?qmDTmBn3ONB@#BI&%#yIRJN}Ob77;WXML+E^m}KF7 zNLs#nhpDy6B%CO`Q26Od_h2#wNe$j0=GRI*)au~%qmOIRmEhw<}!Z@2wu44r_e_p{p} zm(qw=If4NnYChF+2w0^T#o%BhA?bO{O+R;q7-D0rV))}NpCIfP{20qlZ2wfNR3{;2 z5k8a*e=Z^yJ~EoA_d-g6$A(B%#{JomNeHv3wG65_%N)LN*FK|zO80xc9ik)1l=2a` zGJJKDZNF;;q8P$vB5}bO0;R`yOo$y)tRHLS;Z@{q^eUpi)cCP)W!!93n|OQ- z)M-=bF*!OjPIqm@+KDL<++onCfb??CX2$wY4Uhz8u86i9ls_i42_MjrT%O)h8OrB{ ztC>FW*|v0*($uZg5v%#er!OOfk(t%%?<&TZ6f+h5h~4(n)Hvp`uTS+yVPqb<^|6Yl zU$EG*w36Wu14!^NVn6%1B1jAAPlO%9cxUU3PX@RaNLV6*sq%G2|A-}yN9t%!ARJg~ zBFt2vi@xh0D~z;0cKELE9OXuJ5Qc?O^*}ULiTxM!#rz>UcmM!q#k=^#oi^%SZsoUC zhOyBz2nT6m&5su+6D6L0KvQm)!nmS^VfqQfSXkvRv}2CHh0q4CwUw1BL^X%y*4G>H zs2L;~lQ7Ro{7ezPW@5y_3iR$jAw5+U7k}cr7SjIYAg%tV_9nW^BaBQ8+Q~!fz+H?i zZu&RqWZZbiLBS(WZhj@or7>Wxmf};28O&gXriKrO>Yy(?iIHWD>;5hyf=n(%fj5|n ze(>ZX5LiL&b z@GXJY4yCs9DV$#JBvH$+Q6%4B+-(F@S<9?wpAqf6Nxqzq!sByF?^(EPB(u7D1$pV7 zONcBgrL(fi4!lHJpMVXjz`7tdqCNI$a$Lu7gsEWHpz!^ql#u=TyYZUA=wf$?kPoFs z3aPJbRlv6Jt8OUNvQV;qiWKpLiA>J#5hGs^J34vKBYmxnY(d7CRZp6QsM)&JS~RBDQEm8kDwyk+99Z6$H~J!jgeYio!J0W4@7J&aLWI zJ0OgcJdG>iF%vj#CRy9xQhl^D{IesK5LxZGqw{}rXw<5*1-~&AD#@69kDv2h9-nyo zk=5!OCNr5HuUv*M$@~Rs19Xt}^ankHIiW^%uruMI-G2caz=q`=WS$XtU z#6`SLv%oW;AJ7doOQYf_ur()zk@So`pX4!X9b(QX?s{1A@Xv6y%=hQdFSLb^a$DA{2L?{Z1QQ1aE7I*E9RjnUfBCXDPzYNjXr7I(7E zmy1b51kokule`3D-qhz)%HXZXFS=c-PW^Q{%|3X;9%w6~A?nM7*;HxmYL+_%L>7BW z7rj(M1E-X@i)k5ssl?b2?3*+BGbxjXYUHBO$9U7EiKBM}~y#Qlj)BV)DyW zC@u$E8`89MTTNgj63aW#gnmQz3$S9LZkx5Oo@eZ*`KP;Odo8YKLs6V(do4G=dgh#$ z_yn%6Zx05dY6Y9FE;}T4uLP~H_PoxD?iz&Fj?SV4mpradPj#bO7riQ*ua1^#_66tc zCG+L$oDWZmUZ_w&VRp-=MJ8HH@uGf&jh>KsGzZs_=>`-sma4~<;nDsGoBS=_E)X|X z;2L`|uqWtwHlSh^n)NnlJ~Qu4D6Sh4BaS?2m2z*Mh$db7W1G*+DM&}k%h)aS0Y=ZF zyx26_UB53C-c1cwC&O4M4b=vlIQ*d=-}_(ao;qf@fZU~d#RSZ*cB)p^wZQUlHzgH>9HlD4WDT0wV+Pe ze?*=7M;6vPFb#Z;T23$dBInZf))xY(58@Q(o1ay(Y;TWn!MVqeJ&7sC_F_@r^r5-@ zyek~=A~995^k?^vKdMJwC5^yrg`tnr=Qf%N@wXt8T&!S6_}K zF+EXPv@T=}ufDTFhi}_Dxd{pDn=*m@t(?>Gl%Z6DTq}tngRtWz@e}g5Nd!b9*;!)< z>e~k=YkSK9&@>kPoINZht!HsMaD=56F5)3dynTPtgb4LQkc&;Qb*LlkBeCH%Rvyz6 zB>Yg$fnl)yfDuZ;5pHM3YxSlLe~ zrIt|s)I1~SFkWTFd-gUZC#rvkS=}im45gIM^wrMBKihB39Fpl92gTvkOJCvkM0E|h z8ru9)&QX_TR7~NOTpy09w>SLrOUM@8`}LLa)XU~Y`Ha{~{z>F7&f9*gm)Xf077K%# z?xcq3*0zP=D2E=4bsQpJxm48N(UJS{sS^~fPH$5Qv-a8-j!FAY3C6M_SUqgX`C6dQ zE9b<)4%pWXihey7Q*h_?Wl{V??CR{dY>6-u$+;*0Na{yDXus zI{|7pziIj*ap~O*fv4WH@Wx3K7-Z)-{s@UD^$(kw{1I?5jO=dlYkM~ihN#|XOek~V z7$fho<_Y`keF1%f*R4nW5r2L=bOY8s&(^9{PhFj*ZVG1v(WzvNDj59mdL6d$`{u=1 zNvWZHxlF`ZVX0byhsgK+v;Fq+A^d|-y&9yKGa+v~^2W2i{~a(IQzD&W zzrIqe89AvJ%kj2475=CGk?Oi|Ro$n`>5UBJ0J$Gd4V?IYyBw3ToO3~y?w=71R|(^g-|Eo_io!u z)g+Opy<)oSI~Co;Ev>FD*A`bU&fbm9l2?0Y-mP~lr}<}S&VmAqo()S@US8M79Yd=N zS7}ki-ZKL8i%SCYe2ZS3ts^XTYXK`XxBV6`9kgOb=yAAe{c99^(ncGHMg4M zgqB3(aW`4hINa-lgbdqWrb`Mjs79>En?^CGv3N-;n`6SxI%~+yEzZg6_58J9$s%DAew$qcTb6qbQ?EhfF%?#d zIZc4-&dsO9)bQG-T7vqhgiqzFTWSm-J6~sL)@uOcgj?|94#i!Y`W=3+bP}k&AvleYu3g+ z+-0TgJSo;&S@Zly@liBf!KZr>6X`iyuDlETisc95pv>4_hkwFkdSE%^XXeJRt7FMn zp9ekK3Gem-X#U7n>`vrcdJgSn5GA&yhthFb_Mq#DESQzC<&aHX@sy)&t(>?EwHIy` zm*rjfSu9V9b?qbbHmzwCs}981_Fg}0BDi@X5YvIRwuHy*;#hcao+wiL_fR)tT{y|O z)_ftu)v(?n4~u=e3wjT-AnI%PRg3-Y*W|=YZ#LD4Yw*d_qx^0x75s8$mfny!(b1ol zq2f37-y&sBFC#u|H%z*CC}e4SPV0x;R8N@^MV%6LepOd`n9>CV56&uRB+xPu0dxbcwhiot(9w-r70sK);M;ATM~DpP=O{(3*JGOCJe z-nH<|vL82J{M@Q0)YqB{9asqj9s?r<5H zFp{()p2s17=BdZ+KHPRKp!%Ly?&hB@Epgbi?lQ#Xr_x@zR|SshliGSMoFx>u1~@5_ z&LL%vsD^v|z{1SPWN+GDtwOdUq#frn;eKA_UAd6FrJuQat$#(Y**dFDo1TQZ@%*~X z&)ipDhp=%T)*&aPVY| zKHFG*zJy~)i2S^w*Vo#ngPQdu@rxhj-K|@4WFVXHm{~igv>T<`Ff1u4mrU zeAH9b-D@VtWio@BK%Phow)0Q^Y(Q_IaV*L?BSxuvq5^&|uiS5-!$VDVb;I8t#e3WG z5B8S;1$uN?LBQ6?(l>r%#?eY`{1#}ZX;z= zYtyLH41Fa-z>$%1`kU>$o^7M78U8OV@+R^YTcjB>g<$yvq?r91}cU zKN`AIC%7@N_QOmh*wqiq@s_Nb(+eNmG;rmNUmZ}?KUAPbeMxqw^E7Vgx$N!M7@yn7 ztKpfbo$(X}_uD|*H=Ly%pKf^#dTDxHVi0F+^Y4c7Swp#X3=y4Jm;2>!_~{0pM&Iyz zvm%M;{0t%D`x-@^L$dbd<2kC!N+h+@{#q+tV0gCO!54g7c!k>{{a%u#E*UwEMW6gz zWh~q%{G@#53<9|0AoHG4G&SOkm>!CkchFltB1Zr4@Rg;U_kQU{F+syjQ9q=|11%dZ5Xp~RR-H|5+v6x6(R6rMn}iU{SJrKqTF6f zmY>(>aBsNs+i+j&NYAhr$CdNOj2<`(Uh#Ipl_S{^KnWEs2%WRg3GeX&l|e4OP>O8Y zPOi(NoYSSw{qwBegr2w`B^xgCg-IC-I^_sE@Z*Bbt*#zTp63eJBzwJ~@{v0)BBk@_ z4SksmiVM+bvSCKkOMNY` zHxVcT$I3309Jxra(0WH7Jrf`yhc_Hok+>$>u|T*G%!%=yYxAQ0ZKapwhZL8ye-Uo2 z<>`PN{^6Ntx>|+A1>I`$PuX7nx{^SuJ9RI++slNciraU`%u(rV5flCL!+Y7Su_sHW zb8^fQ#^IM0SUpL~x7LIdJd5F0PYBmzyz|D&-w0UcQ$G)nd3JfD8!=ojx$;pxoxL*GBnr?{@$U-iN=1q)0Upnt{!vH|aG= zxjH1C^wKtx+3Xd*P!+eRkKf$(jzukS?w!3fJwr$+DZQHha+qP}nwry{}zunoLd3I*! zpMB~)=SeEbNve{kDksVL9G&mpY(5vc`ZVQ2f6^)nG_YBr}E`tr{QC9=x3(PL($a#_WG zH8Rj0rX_Wl!x3-X!@pD2j#0%q&oV0N*wR^KG`=PL=9PGL;$% z2v-J7;JJ>?vrt-ymCNRj%B;sRDXY|z!lTWMgHKXb7M#qhs4cVdao}B5VxUMaO}U8A zGV652DxM+%PUyK zX_n-*triQBtitD+pCVQ*fk-3lY1bGs>i4KM5`S3wWHOv(8;Y$sinMwVDMJRctN68< z=%HFky*tfnheNFrLq^0IWl^~rpyG>Q9Hw(H86y0O`9QKRx>tZdk+~d&Avx@~46KAU zkrhrY`5CeW*F}!BxRQC3mSlv}#IN6^u&w-b)r_O0v6di9>QZewX1`0QlgyD#Vah9B z3uPK*5R7JXjRxfiBx8-uGA~Rf_r;df8wwJr(shGYIpjHwi>4|=+p10yCG@c}8|Ez| z$7*-bCR_43q&l4I;8nDfq+-TO2RX{hDeR;8BrKM8<P>)dwITC$O*VHx84#qSpx*gY!N`i36d@Z&1UZXx& zn-nZpJT7H>Qp6Dw;isQVHNoWc9!q&QyZ^!3pXD7=Jmq5URm9mTjk;Ab!F>K)_=3o$ zyjg&79g8kL{$}s|Fu+R=e4w%AoO5IJQnmb@;{JHQzF)Oq6)L+|d~>tv#oJWdw;5u1 z8dw3BlRu^C1{bdaiEkztbxRnfg5gY5J0oWhqE?K#&$l0&|4*<&F$y%?Tt+@i$dvEu z$m=;wb1m)_xGyGJmF{`)=zT_e$al@egzDe0;x*_PPyH106R?pD6A>T5)YX3kaeyktnEkygjBGRV!}^|$q)eeVUo%-46?_kT z+szZTyQVOectB%1l|27aoXvaCri0pWo00$CWNpujFfnz%u}r5(f=xQ|oBN}fPOGE= zDX}hP)+I$j=T#UTc#WW2l3RJT!R$$e^$X!S7Rw8GOrj)D+kYwoU;1qdwFFcamzHax z7ftpzE^c6Y2rQyg5A!^E0NZ_FBF60jKbKouS^ogPDPk0~Qg4zCsX?bebB zrmJe#Ke@0Npd~sbc0l-fc5L9MlwztBUg~BqSHhjdR{KySn5L0LQMD<%Ru|O+pizSE zp^kY&j&6Z0)$Mc}ebJACo`X~NS)`6}5HAvf{^2-;(g|e@h)|S>RQoFgyQM=ftb||^ zQYDJ_K(qUYWX@2Z*57)(0&c$5nIV{*9qz@o`_;g*2fI~r7dK~0`z5}qLQw1YH$j>$ zbmft^i@PW7+WDVQNx)rWwuccoI-T<^*VTV^!v1Vo{a1d|!;GK&xmXm&|&Ms<=AY8lzT^$ITpRpD5}CuS+j~?)jBl-R>od z|B7Up|Ks6L9PN7I>78Om*kfB=-XdFR9pZOl<|m0gynP~XvDw(e=ykTpp~>@vNm*h; ztlzfZxvKK;YD~2mHsl_pPxAN z&y{x-4Z^;n5)Q(?{uKW^0`-zKq;|9y%d+9WL`a)5YK%z6hN#+3#ikI@3F4ml48anB z+Gi4w2_p3L@PUFN2xNGM!DE0K;Cg2&RrN2Fi~M1>lc`_E$nW@YnnDe~p;NdyS1ng#It)78?r*{U2u5`xp8^ zkZ=UJ3SgV$TORmAGJpt4v6PUhdA_W60&Qa)2%b~JELfubi`5fa9g)GB#$4wBSchTR zz)>^eI70C;r~4}_ZuLH6|Mv9M(c=gE!{g)YY}xm-j7*hP!o!)>GGTQ~k(I&3B z`}65VlpN`^ajcDJ6BjY&a$9wo?wU6Hd?rV>8!sD2cLTR#i)YKy%VX$$TdUj4!OQdM zZH&4Bud77`TD93mX1S%S)$6{S>as$WH`Cht_0{q1FuLS2Wm^X?rrG%5a`(L)jkUP` z{JU%E+hkd{tJU4Z-RY^nzS%|xcY4U$-EpNnqueE=db`8j$6-JVuiNK&J*HQN-}<6C zJ6+SwfSbA5c+cJ3dhkCi>gzvj+THw$>rYJe7LL!mU3)`Rt`&Oz%kyu{1Hwo?2*O)h z9j~uAqhVbk%Q3uU{60ppUjpVx3VwXrU}J>TIDsSqv4n^zHSaXpqA!nXzwRW2BJK{^C$X1onZczw(816$DmrX&Yk$^nUdTK_U6U5b6K= z6#%t8Ja}zjMob+PScsH}GMZvYorTxgux;ZZ=~dmS3-X|m-tcWYTI1KC$(oBBS^}zn zw@m_IBu4#D*$Bs3$MV3@YYVf5ez=blQwA(B0>@+t1RYpYgVw(9+s z3-t5Dz?c}(5{X5}7$PPeR+}stAD535JwPVRLOKl`A+@#Er_;5=!%khf-lnr4z%z@BjfOT$McVyI z`=>qDX^-w+13@apM$pHWc71&K3D%Ya`<$@k{pD6%A+icqBhy2Kx;2l3ZjrLaB zt;600e)?DXiUwEYZHGKDM~0(()r9%u^S6CZ92@2yB+CbS5T4kL0m#?w7H`1&u-Uia z1)lC_LWxhwgRJ)#!4z){w`VaQ#I9thPtHv>L|4i+)>_x(MMifQ$vIYG*Wd}3PZ!Q{ zhGCbJ(=)>TDcLuqUi?|n|5TOuv2gz1=@LR_4hH|dCc*k&j_v-N`tiS37MK{={!{G# z0e|*Nkd6qTM+(0F3de2_$)7bxk;rXCbzebjYA-fIR4LZ}8x_A6@a>&|@qQ=}#KL8` z)o~pdmSL@erR+4}H7{Ko6n#mhY|h3)rVX^-o2P()R#6ddjsHP+*5RZ@wDmNMa2B=3 zI^{XF;ZpB*;W$kiQMcNgu-|nCa4bZyyi2o&w~@7ahh;8_c8gA|2<&7(VYkt}!%U5+ zJ1t^*S6-HlI?}kEZSL_jl=&N86ljovmh0dIWO9`zTjyrXbLvYe`W|53+?@{g*H|o$5c8nX@f|6fCMMz_)~JQb0XPJ z#*9#RD_C6QFB@4u)FnGvKe^Byg$4>iP`{tQ>CB)IRX*4#wHR!EqN#Y|ufmuNBmx!! z!ZMvrW`ZH<&|V(Fh}4T9QK2Ugn?$mOz+5cp5@`NOIzd8noF}>wZFCYZJ0IMN3CplUe21*B8*+ z`?tscbbzoj{P+0j|LfjnVWnqbrIRwUHgPm%`0;(n$VAWdKX(6x7bKt3Fy`3I^$jl1#?Y! z&D0Ew{0^I`?gG70=IgHKyDN|PewQwrA8a*Vhoj6i#&UAv(2>Z1u(A6(2T7eRD-C`P zYmm4@Tb-ws%&wrI?s%35xBS>JWKyGt_R~^+$gqJRQ)M0YZv9zN6%V|8H_cEGBC3?d zRc9J^8~oYJ7yvkzM!o6Mhf~f;K-lkXew5u*<@UOw({MbCuq$o;e3QkCv+y$Ap~?q* zUA8;;ba>H$&n%-at;F`JY~AL)w*Uuwxvy~3siw7{BvcIU=Sq^>BT=Xvu5SIo{H-G< zbzZF7rq@L$)a9IJCk(U0T5{c*Tz&7y$q>Xzd>Tg}`JBRt-?Wt$jWZBh)7*c&XXDR= zag3c#g&MAyGq14X4Sumb)aNW;wwsD9+z2jEr2gZ+n@mq3>DH|A?5hrmVG6{C!VT1i zG8+hX_H#@en9tMn;r4X37yfRvI) z%C^(y`z4k(QPC}e#RNl2L&-PKlu(t%Q@mB_QF3lx09j*~V98<+_%z?+0o$x7Tor2= z1f;OXG*bMK9c6{k}*5+HQoJ6*KD9$F?C`AhRg)?VrDv zRS&!AGIVV5kCuPbaS89#pxxnH$T*C5ZpbiS^D)Kri{iZOR;zh(d#1~C&0Ff9ru4D= zrTD7~whbM^hd1qlC{<;%uWKKh zRIa~b6Gh?F62}sHkll8 zqvA4H4KX7>JW&7hUz%H2p51c|jO-yzsS2Rg#S1&l6pIUBUIDU*#S4sPcr6zbdrCYQ zcLwhn8)yqz3XjERJL0V}Eh;(J9EF3NYUkfk1Vf>$B=bRg*OfMsBX!;$ z?Oe-QWmbBq+RIO#WRE2G3(pq~w_RFyZ4GGa$BT+3CrVZzxTe?3xVeeW?A^I2ie4Vu z6w^_uz6gl`rO@N|PaixwgPCcXZ(5l&Z)7KEQ}$Qfv+>dpZ&)Yx(d_^U*%Zljk=C{C z5)~|)8`H`lkub8-a%cF*g{~qEd2v*I?{g3GShhsB&z)URiZYzu(L_l3^Oq>cAIR)%u`6t|T&JC3F1 zkV!>$a5oiqKKKkX*&FKjbm~@I;1h+q>{-7;hak{r^HL6pjQO+XdH34jbn#;kWo2|W zQ1m4v)6cJIh`W1j!3ud!vcA1dBfN^}8XhX$J0+r0yKj zlvK{pULNgJ`vza5*uxCJ1&|s(-Cy7b=}Xc>-2a#iB;^QT=$a^s^ z5}{iYawC`L%p0}9^H1rLmWwE74&~DRtk?_UyZDk9G*q+{+xDBxsxgCH5j($2g8_aS zrUWfJPmKo8D!e2nSzNoJKF$K$KF3VU!D83P{kpar%j#y0_vv-$Kd)|SitKwO$18KJ zL2yC+J)Y&pDmCvsNExpqBoFlnI6;TUXk&rRMaV&0sjr}*tEp= zs)i-yPW|95!E~7Qf$^WN$k_fkV(otYzk*NSO{3GP5I&*d< z9cG?O4_;FbK0^=xW09lgZ(gbPlj$qJA4$!MX>6CWt?&PD$^U01KG9|o&&6GGaBgBzWG()K&s(I?Y zCev=3b5ag3BO!a1wZLj7H#hTdSA2Ep+LC~!zA~UPfo8dBq-oAM&dT9zygOYZY8ySs zljY!C=9H~pjZ&n`tjlxD`Sp$XQFctI>lfKUd3|N0l>m9CxVCajpi(1WM@OZ65ZDV9)7 zpjd~~3&Vt*(1?Ud$&2~L5X>B7t-6_`eXy|@hLPiApF zmdIt;ekO7I4>e|N91J@8j^7DazrGb*|myRlAlm6qI2zkXLA zbFq)JR8(uW8Q$gx!5%G7WpJ82RivMlr7;%CW^fc%EL>8iDQPj;^}W~`zz(BC;wI&! zWF&CUr7`bS?DhvEjAC<{>WN(IoNmr5w6C?RA?p`R%K!SCN6^df2TZDsoS1DgP1o!; zH^%)l7d)BmG+fmI78S-4Z5@VC^?pZ>soa37Z;WvytUC%6BNOF_5r(O^VuQa;46aa&v_&ItuE}5ETaUW{0nN8-idNI^-r*&X~ z06STGtQ7JjQG7H*KSEC~>++s&JnI!mAGpMAV_GlL`|GX&mz%jde~htqBrC;2T%3a0 zGtuDS4(!O)KT@lSy>8mEwvydTcAtdCI9>e;s=7{9S<1=d0fxzZ;T{u<)jc048}~^| zHbyyzY$v{)`}AppE2cl%FlcaC2A>PK)VRI!7Af!kDFAZKgVHm*N9mp*UO{KC_OC$a zTwqsTNK02D%4$l4W+oFdxU67Y#yCs5*=;;yzx>(kn9(GzGV*aeGkn>R+P%F;c!#pv zVb`@$Ju(+OqgCe|!`qMxWtY6o{fXHjBbA9{Rf%=O@zGsNQVaK#7L-ZQwZ?t=yCHs8 zJ~kSID1uLUT*~T)#yi7BaBIRP2*$;&5IWw&bMG|H|#7M9kM;lt|TyHt(s<&uZm zbs5~;PrHs3Ll{viEltSz$~7H#xeIz3n)N4zchESL4?#KZp#YmSV&qSKZJ|tjvv=nzzHi^sZGz5?E3zO=HBRv>d3X17ot;u0~lj-W3 zec{w?wb{8f`uf_{Q%kKxRUQxWBjJM1+_G7oQSJ?W$F7ymr8C$;5|w~YE>dv46k z7xWg=;X>rAEPolk_$SHA8AS~7Fy@d?vc(~D4v+mtUS?BnfbP+1LH4EA2b#h*W@ z?}S)L6khPdo+qtv770+t`>-xJ-5{!I9v*B2-F8AkO-x)t(Dk=)yI%1o2s@5 zhlTc!xvpI0FTa0`micifc|f=k;GP55%ImrRK3Sel$A3{jK}z@_Wqbajv#meOUstmp z7Kp^yCym%?EgDa3N?uw5xQtOH2NY7IN-FyOX(k)+OVQ*zLm!BWClB#REY7PFcnZ+6 zUS%O~%&kMYS0E7*^CJVp5b(r6inD2myN8dWysc1Pfrn;Ijt*9kEfvgO;4WTBtu{uC zYC?PjE3%vNWpc}poEt*-;5E4I)k2isB&PuLDiF7RQj;4Pm2ivo@0-1s;4GsYxB7^b z>eD((5iSYhUR1l6Hd}-cpy`r`Pbn-877lb5a3><@DnvM^SX2VMf$eFX z%%*=Jubh;7!_;$>Q^tSyzm7U7gY!}-qA<_Xe}|#Ys+$)n!dLPjRDh+tao&IWd$Yo* zysy^^75r+?HMxcFcDRe~LJdt*>9Vd`)WA@Ib9YIW!&`UJCsa5$gp89-5v_CDOXf}l z=VD-BJ5Y*s;|eZ{ZO~s@s4~_JqQ+x8bZADwdxU!x4{$6BpO zHoUS-NhEI^IjZrZfj?h5)>9g56l=0yN&H2^lM#!%ehtk8kLpNLk1=&E;~A+1rFCgf zJn^?pA;wKx9i94!l?MXoSDP)K!0@L>tWPulzoJT z`YsmBb{ZEf_N9Wp4ip!c7%|=OJpHVSjycuvk`hX*q5^ell%6L1Q|S}TD`o1)GGpz; zN|_SVm}q8=B1NOC|BZfGX-QM@4*Xsm6;e#sMZqYm)yUk6sm%lWJqup1SWd8+Dn9gf z1ol(7d23Kr9OsF7ffY}iMP&4Z@o2pPt!lqjBnbq9!V5RDqQk zQSy$Nt4Hb_C0WY8GRd|W%f5=Lnp__~%7_95z)nxB4DNse--B^`mWzh`v1Ry0=VWI` zkBG`$<>E<7iD1YxUca`#z-dCLu)|ee>qzB1k+vL`zC(sf`S?Rddc&Q670}JgLen^LtH|`aia-ELdBW`&sXZ7M#PcAPDF3CkL;Lj z;BI(t*bmYd_?z<`vYwa#JWyT<+COG^b3nOZGcl!9ihdjbHc2gaz>~y0DYbY&i{yx$K{HHUVu4(~QYZURTtl=m1(o+xfh0XgKiwE#Sd+dKds z`E3{An&P$!a7}*O1hApFEdtn(-?jm&DQ?RE9!WokY*Uh4#lz5&T=~O}lU!xOOp;th z!itk#A^@@!xBmbhiLL@+!%41EVWLT{LSdT{7Yg~pVObJf6$6427jpXT03DL_q#N?v zTmT}uT{mDo(NtlNhvZ6m50~UhWsjERN@)*)#9DQag~VD}zZ}p^ZdVUrrLZdiu#($# z0+uQ4Dgn#nc8vg4idx|?s-zZyu<@i8sW8c;m5KpU5?c8^eG<&17O^ncq?M8Z2$ChL zye4h|E04gnC#c5DU*_vX3r(UWRxxtxxc{4k0RYLumuSgnjNCh3-V?9DrBC46H&o;I zFY|Ar|34G{@8&pj3S2q|u3bVkuKzM`CR(r-E!m8gYsblR<|H&}N1<5*-#YlEa*@_K zYL|Q8;#kG%Mqc74c}!n~}BCAZ+P~sSS`=8acHrq24Qmp3sKO~GK3{J*% z^d#=c!vP!&B(BJ0z8rrB>lvddlCyA9^;7d(rzTJar^Je&ifpT34PcC5cH8ud@Qe6t zioEkE!^BOBhe&#bAi`9N3PeKL`UQ0G&mbZtkrTOQa`o*YiArE(Z9_uFLC zc>W#_OW`qijXVH{bW9gMr*1tub74J1H}4zHtV`L{-b)v;rs|luM+xc}t&LBqrs^2G zhn)%|xcQyBIr9uZP|q_;$ufQh5#{X7B}jRsX798Or{NkL7f8j>UmC9sF7(B5wm#6$ z3rcaOHhJvV^Xb@ppvW;Mgq-phL8e}rtPL#;IOee8o;S9n)Nk}VvMz59I+D%fNHsHV z4mncIs}yOntxjehk``gLUO^O{r&SrWC1Yvz7nY}0>96%UsoEz~rP3a^ji=HYtxYt9 zodTjeQYE9L&=|Bw8sf|XSgSx9wy;={9f9Xxw^}e~+@y}(_qB2%Y_NYD*hRBiBbnmN zYgl&$uU8st97dIcR302rr6x1d7?hcm8EA}^rr6#ON=06{I z<#O3vh{#p3K4Hs6vo--IUPg^VMj6`wF=B|i8QS@zo!XnTwQ-~{MW2_mY4BtUrZ;jg z5cPxbDA>&9-#Ym-!&imXv#^bog>W_;=hZoT*Z1aHaJVs{cQ!fcaf>mRhMieKriduB zlvRqQh^bh{su8WAO3HbB(Z{aHmKIg7mKNWt4Nx>8g;gX}B&&AAB=5DzMmRfRo(39Z z9Ap^$+};pKG<~I%(M-xeEVELcu@WF! zKv^l8Uslo0{|$o;@ddt3ck_w-g24v%0rt)7izojKI<^hE4ZIBkxyuXW8PL{U@WFh8 zdP8y}d_aA^O=zOY3;6`<1I`m*gZHAigS=yq$P3~FeDkr$@b zx4)+>uq?>b5;q$UFc$*X@2-_M(-*)w(XM1=d;fVwyYFv3vJXU1#5`-rv!?!I@N{6r z8@&~YbI`x#70)4+wbrnj7FIBtCY^{aXf2Q}SS_$Ej1?)52sf8KR;CVAt`;j?=QJ~{ zg42%oQA-HtL!T6 zE3GvSHBL3IG-ew%8a3DXnAhBoZI|nc+*3+XCnT9v;qE3>0W4@Xe>0$H0Bz2 zSZ{ANGPV2GtFOeVBID# zN@`UV0ha$w5UScK##sm)xh}iD3>*D!8)89i8#0V`MrDd}jvAYAIo#bVt#7TbthY|a zLEpyUCWsihGO*KlQtxU`9oehBs6Anj4_gwd6jdUNW6VoXTRF{?NpYSl0O&~1WI}V# z-4}3lt=-N?Z#ywL**ag$a^~z!-Zr^%%jjr+w8VP`)Z3@MBQRAhV%o@#$Alxx+byxt zT(`5;`Z@kZ_7BAP2T5cc5?X(B9s~Wl?+;8oV5GE1ZV6qqCm?$>K!IzQN9GjI#St;a z<%i}zqRcY5Nj-3+ux|q3s=W)5h`f7~&LF2~pGjaEyC$M=`Ib&Y2Gfit6}P| zXMqysnb9mk=beWc&e&b~7J0(HOH@A}V{+eFV*S;#=I6Hc-t<+EPxr0tU8LnAZ7$`d z=MLA7RxU6bq#9%e_!3aLpK_0c9(WD58pHyqQ;&ikLk*M~6gAKhXk!nT9xXj&D*Ob9 zxvzOHObM7V2r3^tJ!mR|6lf8MqVJzv6kAXe&;(#KIS?t3a6dvlLV93y#7NK~kf0v) zTp)D#NRT@}E_}2R;5xrJU*r)G5g-%be!ssxa(Ym)&~OkCz@UD>d_K8&GiWEEhCrQu zI(!^EK<*&izE^y#`0!%jZ6MCMu(2R3Kvc1C#K2j=u(42%AOPQJJ}xB40T3KtbbL&D zPUeE3K}sUl5#C7_u2K>3uwIczZ4z}}$V;0U1KAl|@V&>wKv zAl@Kfz#mW_K%R)6ke;yEUskUF3`;7=gcKy;vVz;qyVK+~Ypz|$bpK(e4dz}X<# zU{64@Krx^(z%d{(K<$3oe6TghuIR2%E5MeJ9ej*Cz_?(zKv$4Wz|uWlJ)J$=J)1q+ zJy|_CJwKn7p2{ATo!>jgJES`zI}khWI~+S2JD5A>JCu5`wj{RTw(PbTwiGoOD_~k6 zT5wDtXuxP7Sbp$5?q!&(`1If4N8LRiXsO>I_quvtuoFIhuXO+Gn`$6>@JMQ)U`s%L z%zXd<2LD^#&)`R0JsW7L?;sAkdRMR$UVg1~^glPhayk*dQ#4O=HvPWs3koIIEhqkw zOr**P@v|c1bk=QlJEw^`m{BnASb$pPQ=LM;#Tw7O$}P{Tv_)KzAaaY-&9wX6=+1sr z;b>#f=kz^hp@`vxY9Kb_a=D|;0FYLP97rT^q?-1#RUFQn$zHm+-Hpm$O(pfK-IMDyO zFG)U{2PtR(a;mqj;5UQFujxR4b6pV70He<1C@VI4(E#gQP>pwrh_dIV8D56b5HB}n zuFPxf+PCkohhQ#`yo1rh$qL|fpv^KNAG~1Cq0N14vA{YNXghI{M8i>xa%9l~eP4FlQad@ap_llNW7dPii+M8;!<8!9 zUa7DRK7ElU*!sNtRqaBhz>{;6-(^o{!|LaWT4CR;+aMj@dCc>zUO1}ODUTpey!WTv zO42$SvYdBhc%(Q>2XDT0HcLO&y}Yj(rfJutV|Jk3GN1Gc-C%Ub?$JGEUpi#5cxZWr zefZTy>`v9)5vUd-9sr$E)pLyGm(0=A1rL~={5Es#gdk^5Zx zuOjI(^WUmf)?^xdgoCq7OS&2<&0qO3MVRvb@CPg#g*cATJhgOexD*vA=5JJkbJ0sV zkEJ_TqC8NkUJp%6*W^IuM+%?t74{t9vq+et`=^-G?ji5;;XSk@*}>rl0 zY|i+Yt2H6eqy+Yv@}xBSKW=)Hy^ec&q}?6)BTgELs7#TakJUwa*y~vLN5~)zf(@}z zFz|eyMoo_Jo}Z?1o51E-HlQ{hqQKK_7dh8{Hj~EwAB9C+*GoGtA?N z3C81EmTOu2YnT0)qItX|g&idt{8q$h$jMn|whEhBYBbk!f4pc%%|IZC)w_f(z-{~T z2Z)}0zLQwESKLL;7^rJGu@M1I3QL%oZ=Q_!Ro-%VSa+yh--E4{T zyUXR`B4ICh**d56t##1ifeDih4w4OmK@JlS4wDE&;=I!1-`&Ij$8{g2n)__#Ln36P zFDiGlFsU(uLo^YSIi9go7wXw7LDo}L1h8}Pu#uB;ba-4X5Mk|cjALi*@3Ae%*`dpL zod2C~^Hn<&Fnfr@e%4-Sd@RS=HD*}a+Cw&@socJX3V>21MCnT-S1wb>C5kj?v2%1r zE3M<;mWn(#mzDga;G*WrlZ@wP5*Wznqu9W%;x_f^`zq6J4q5Lvhz~c=0;c_eQ4GiU zLri3_)^HLnm`_mfo`LujakbqXV=Hyv`H6!N!H7Z8ujo@|l~He%4VHAGh6!1>b5uAq z42kJdk&&G*diF$XW)=Ve+a-+?aN!+X;8P-%miUwJ6)M3irvk)fq zIAlp7jQ#T&t92sPK%UUgT*M|p{KZBbxUbhQHrnmx;w&5Kkk2y}_SVu;XLevJL27!zEQ`u4b!mM)%5*&R5rQ%L_# zX3Dj;?3{q&cs!ht&z&_XJw>=gUa;Gm>pT~T0vN}KTyv%y^a7!3s?Q0wG|Mb?6H;$<1*vFwfv3vTvTiZXH{(k6dr zx8*;2D7y0fS;8`?T-{0wX7?;Oxrrit@x>OtX|_kf>5_Nks(Kuz%)tLc9yBM^*4$4# zPn1sv|Bol%#bLydn#Tqw5eb7!>&PX0D3rOFxFIz1vXKI3PDAJ|dJQ1~B3(mh`mzyJ z@NJ~qu}oMJZetz+`n6?91fofu9c15=Doo2@Pd|Z~UE^++ImiOIw{{An9CoSG@w7!; zwcA_fXrsZ^Nxk9)%eliI8tg{3^r0G`8&biGnsC%9$QeQ?a3$h ziDt)2X}Rq_-uNGeI3p&v$aV2!jpcYUHs_sW-M;FFm_BE%VCa2a781IcfS4dYyx&X% zxJEA5n%vsld~#Dm2uoNN`W(pd7Eob~N9%D>aWl=K#hb5|MsXguoPhr8MW+ezxQywp z&WG1rG*i9FlE$~jxvs_aFR0OMOCU4?9^p{gSr8_YbiZ^V=o2w6@Y^tU)F=++AJY0S zisF#{1yTfxc^HiWsWtC9c>k<;L)f%U^l5dCJR7ugrN^F{InY@mrDABy_mT=@csf##f}v`D)~;wB3UimIm@0 z9v@sx<_a=iRZmv1HHM>rQYODlNnW|Egi={4iIPJaiakr_A;KF!(*e`OJ~-glM-bGP zIarKP147G-F?y(fbHQ5vb<|ZGMrfAMuSiudqU7Jmv?;N{=e`(FscX2-Rv|^r6h2sdk( zfOtt`aHTE_f`&y$`giQkdxjigL`Cm5s2*w`84cugI62nf!`lnfYmJUUu-< z4Nz-!7N_iH*{y;41#7rZ%O)xGTL{>vp#QDroP#ZxVG=$I+{(TD2HvDFtMF)v_+tEj zD2j)a`7Kq6CT+(7d{m?3cZ;9*AM^=gO_^Qyp8N;b4>jWv5dHDl19~CkP(J^BCg$WE z3>kh3#zC`R<+ z*lck0+hZa$;Y|q!>`9tUThmQ=Sug5!yY*(WbZgysULvSO1j8A+I+psajT-N9*U18( zueF<#W)s|#R*U@p(qfiS+_*3$VU>;hjp$Fhjm@juop=AgdO*Run@1fdk*@v#*0)Vq zMBb;bXI4%@I}OwcXs8@Oc((faDw8plz?En^?vFGY*?CM zf$`cId+JC_>WMsOY7_7=)en{y~Zxa8F*~uKE;2{{yu+#?GGIYJ0Zk z*mEG&oY6h}@@zj+d4BHeyMn6y!+=ctu1LF{^HyPONOt$8ol~g!!W)&j08~#EbcJ$s zNk02Gnu!ZLdV9W5#^l@sC^htaJU`sX%pNtMb!@?giLd6q)Hmh7Oz%8-G?B_y`xbsp zl`F<2mkH|c67SC!#6^_Yim1`0FvChg24#JrE0cPK^U^n%z9qVm|ld5W%x`tqU z{wHJXJWAb~wP`AWaE^}p@0~WxEb$$--oH(L3O$A_(8{2d2Pt_2Gt>0UCXXOyS>e*z zy%pgND#{w=l$FX!OJx!kLDPGB9P_zOn6f3}(6V2aIzN%$-pW#}u=7&C?C2|={1@^v zxUFK)kb=8m!tARDNzV8HZf$y*lDdn~*5IORU?W)4D?Yg|qc-NNs{-g{<(H%Xe)c9a z=kemD_z<6hE!bobz102(ih@~jm;$E3uENqIX(ymqR5J6b1>R2gk1(Fh^%nyLM`5Ec zA$r*p8(|`wH&e@r^tZn&a}c{vpa!ym3OR%%7rL48cMPQb#RYEx_$OxNU;BdnzM*G03kKz#9`&bxM&;?mGLQmxl%NH zFm_!*oDJGYfG^vF18r$(9n4V-?OXF}uj_m9bT+;t=( z+|Rkg0xZ#N&*w0rYag5a8`dAF_Y7~>>+r?rtTpF**ypF}u5ZYabRg_oqn&eW>S!(8H~DmuEB*gP1Pn2Sz=^!;?sYMd`Z zpeS^@BuY$tEg$8U+=(r?6FYJ93vqJ~4Y8f!_SAtX;UdA5{rt=L_?2^WDdl|E_coQe z$jM|bCU#phJ26JMQPFG4{~{_A)PUOxL*j#?I9E_Y%4Ws)h5@@CL9274wi(r)(Sfy- zs|)Moac68wmT3dEo7V3(#+ec;l+3GDl3X}JP*XQ9Ypm9_*}3pn=UO9MXk>M^s|pEP zoil!|jiny`CQ8V$(mfn0!uwEpSIa;IStH{bmZ=KlSB-^rFg9pc8+HsuTD{ZN#=o%PcMWByrZRJD z<$c}qvZW=ne8Lp5Lm}9$lri8YB$*(^OiBOaHoZ5yTP{!F;+EV~t!V%=JtU3`LEUYi zUC5iY{FZDge&s=#%&vR|ZtS~QH0sBrt5@{l4G-{D>Ri8krySi%y56sav$=r~%brH% zZOEhaUwWu%Vv%=@Twvc^(@a#l6Och~lr1sJzkxnuG81Pj?AR{FF(%9XkkJIe**NoL zes&2;!2cCBiP`^VrENG^sq56mvD##qGc^JJ`#17bx!pZEnijSgqIuhKQ?JeLJ)j(! z=uf>acW`akyV>Famc3SsosDhgO@z%)e@HxCo-txv3%~43e#ea zrrf0xyTzbo?%*b@0zb^Sxx^uaEBmaet_@YX)bW0Xb|gj$|LX(fMIDJVl&w55U@;Bo zkq26QCj>zxRt(C4PKuv*KK*a&nf$&qOzcW?oV%{nO>tgyj2XAFQh__nFH&aR+$qKv zffr%oGqjQ~@0K3BUa`vQ(RO*K=(9OVUD|w4SfUnT`O_;9_8gEj6TBTiXiJGwaaQF$ zZ*=Yt`t%n9>d#ozR|3M_`(^gLhB{#KA@U_b5@vA!v)w-M{@3Z z41^6|xDNQLvfaQyyGWJy0>kT>Cd1_P@K3?<+KVAjC>&uWG8q@PF__f(W2kOGO2kA( z@BN^)hs_3_8{X1G<3NH^0x=Eo82v>3|me&9+9%IV)?PVB^>zu5*^&8YD}dXHe* zEj?|0wjbBar2zFc-oZ~E7%82>8~M)ctfgl!}OXhFJt?<17nA;4~q<&aEU#;N(y17vn^6{v!_wvb{h2t|PFSbN33s>ervCMwaJ z(5NPcbXi51YRJ^hQWu3OB_iDD7LhWXBRgE7)IPa{K)ei=XbXFZhB5ZP`kDNW9iKw+ zJf}n+=7osx`{Mq{x;=2KEy|`=&ubMsgX_&hxDXdIn(&7*n`D02Bmx`uaj^wNbdgQx z6gY$Exfu5XM49;LwDxjm0FbX#=?6r9d}%=hqIa$Q5_G|v*|BkYHtOf_Ju zO+K3g{WYkuI{R>0DrGUTc2b6C?sN4>a%qN`U4?Tc2JR;7`{CY$Y>D>+=)$UmnRaJn zaXTyk&!G9`rfQ_v#X74(a@cJx*}zxbW_JbR7FsrxtjEengPl-w!ZaH{@6aSEF1sY? z>ObFtLHt}f;PI7yhM8ZakEv^)xP_1bTfle%E?3KF3%wgS>@5BSbpqB&ZCOdMm0)4& z`oVyaS!4RB$B%dwpn^Ce7+LT9YTD^}5j|BEFno9*CR?BgIVPMQWhm;9)ecD+-|vya z8pAXUry(Nf-HU7sv7x_QtlN4%mE+wM;sTkO=etTl-&ND>y|3`owDA=74xZl^VGLX|)@GD~KZKr`BJ8FG?eveZ;d53Jf+ zC!?e5J{HYs4V)m~xVH&e>&DZ(7k}-AY{Km-^1s=9p6{;=ALO|5{_5HBTF0c#sZM+0 zYJl(HC2Hx0v9!u-Mzo&DzmM?#HP8Ny(Ef0ZF;sAEc5D|$=2P@=^ow#Z^#1yf`EWNG z)=*;)Ya>bS2b8uek#POmDr+8GV4ATR%tywQO<(gYwU#2-KG6#+FjoGWUz3?IZn{g_ zBm#26H^n5UaBuJYtIbRYs5r|rj~%es?>-fRQ6`YYi3?+Ov?FITS{VPVwgbFo-R7D` z9i(w$Q`)f|bY3TM5m6fQ(1!1l0&61LRH;|57a1DJpq++SNc8^$R6wi05hh{_1I%;L zWFmhZ_U9)V-XWTu;NDj=im(j%>Ivkb8Y?Drw6JwNB>-~A->V(=Y_zk z)x+^SUb%1i$_HM#ec8=x>MeTmKGDT9o-$9<^^aV$<||#>hp;{)wX~bMzAEd4%s%u!N;HNVHW<#4rVW(2*UuOyCSim7E4O8 z@bU5qF090nE0f7b=_!-HV64EpehZ!VqOMc`_LKvX!*5~m-_aJoISB!ch4o_>aM-B>Ys%8U;4LJFjDm2E7`? ziC+RONCjJo&mGXD}|yOnR0CD z_X|*{g#kavP!TW7}0m6IN|9o&o`zL>V_`uH| zSkZp?rTf=DBsb-DJT!3aqc=9T?R*6CG+?po0fIe%t*=nHy7m-^9s;=eCSWol`i@4! zmAz{n=dLSlrWV+OCJGX)hO?HvE2BgHS^E?=0O``MA9;Q4*!>d^7s5qE!VRHzf5Wx8 z;PJK=Ytr_)FE=i)aS(qTy6f6x{=rg{XlX9JVxp&ei=ffuZ}ipoDz;cpJr8VAhGno# zh(qZ7LInP53g)VYzw{^gbzA}}+qQKHe4{vElhX=+P`HEA?VJS1*%HV+wyhjI^tcR< zm9nQ5+5jZfSXBShmhRV40wTa8>~AhI+Vff?E9@Iv8H^w7zwP9{qV3FOb-^XKwi=E3 z|6R1=a`1k8UvRzEYprU|hV0??PyOWZfu8_Ne)8qJm)(BDx^PviRa-{%u6_7MVAap9 zTK{>uscq*&s#PBcR!svYHLk=)Jz<@!;S)kF{2`f0BO-++cS3lhvC*D+7y6tMl?oNu z*%Yk7GXH_ngE`SCydi@l{h4=V_1LR7eWO=t`WCA(J5WhUMMgf(Sj*h5fK^Wpo5Izt zwc83P0Cds0=@ZvhdzSRoxGKv6{55*|&(`YR+#{cDS&?#xGzdnd&dC0?yglj6uPmbC zCjn2Sb6YE{)p#~gos0cnr-OJs)Rb`KpLQhS?|v_zJ5O8&_~^y%R`k+Fn5QDOky@h% z{%#It1fj~1(`8yNdX{MtTS531PWZ)Ykq|*#Hy{T_#Tify+UJFor0{{G8Fa2e&Lmnc z%II_vp06$l8w&1yT4|%6PJ9qw_y-+S;&S8G&km(G_ATLQ4M70z%vN@8ZmR67_a!>l zjjrn|uU)^tyL@n2jS+pXqjmA-!E`iNAyss(+pw;y0+06Gva-VLa`P;2F-Lbhfx4kFM+Z-lVka!W)cTSJfT9)=CTB@S4RQm=P=iLBbEdXDBtO3Og zi~?{vZZlCl$g#&=mEKL4v zp%fy{L0gl*E(=_~t7!EDi~y=3mq63XKBTXpDk1_RT284v{e%djv5l}y=_3>Tglj$& zXA7Zt3Vs3Gkn>Ua!?q#G^UXJXdCSJfcQgR_O3r}DggQ26G8@_hjOdpBkxr5kQpb)cxnIHE{1itQYkRFgt+GU#5a~zus;IrBF`K z+C39Cjmn74Ac3Nekhny9IKyuUrU2~Z^HJW4yrDx=dh;g zYA!X6U&!g3IJ;yh3x&AgG-1mL5?4P_K2aTl&A<6#1@`_55;>Bv`z7(p*qkw|YB+QTXn$F z4*_kZu{{b(!^Bd|iNy#kr%yT3DJS^DjPM7oBo4I=1&s4YB3$J}gcE%eVkw<>B-2T! z1OR|AkVz_Rl2@pG=?SHzYJO96l%C%eU7{{~eNjs|d8dgq$9=wtO|SVQ%l?sKEg`2b zW+shzMgI4k#vBWIf>yoemnQBEr56EPj+nG;{`W0T$)F(tQNllNx91Nru$yR*B>Xq{ zPf(8$kn8*tPA9$r_8GNCrzM{Ox`Ca=-Kdw^r9^2vAs#buPWU@pVF`n|OUL;pB&~Tu zTX2Kq+nJw3`Jo3Czbbk_N&RvKAdnL{bYjr%0M47ANd$f0bdi6lHQiFMv(ZG7uZ%N{#*u#uJ-Q_$}ZMi@=|{)B917 zbd2jGC=S6gC53|JS+%_cBc|U0kD8LfVVZ~%l~Cc5QetPZw*(_*J-%QQo>Gqiss(NX z-0JLZ$wx7~0smb-!1Iu^5;7-pT9Pqw`2c|#O&w+z&-lCnlg;iDiJt}FK0BH=SqyQL z)#?yuYl4tjt)H7Av*eEvr+63nx8Ky}YfH9~tj=D`ad>|%Tve)t3re*-TnVY2#{Zr( zV$rAx!#NDDJ7Ep#+G&Hjm!v^mLux3jo-|AoOwJRn^n{8rM%@$->@QwBd1d*M`x;#)s314Wk)#A8iW6jSZu&oPo9Dwe}Zf zIA0J=Xp^xZZll1QRi3xxsvfTSH5tw;B};bHqWzDfN*$twZn9)a3E@jGQAwWq35AjZ ztz>K)Y$K6b-tBVwjExTtbnPCfY`NuI+xOdQR%DttFR$SkPDjzMw&AU{n?JQW^7YTO zkGJ`*S=G9uS>iaYmgClCJIgw^w)XApDeJ6VwZsLoHN!hh4v#bB5i8alSbf@FnT>Z2 zwYAU9DK^sFMnrG|b>wGmgqAx3KK;377gmlnV<*tc1cRgg&Rktopho0ZIeNkLExWi}O9;2?4%6SoZVooP3r)uE20POur3I;Z?XG-#L)Ouw?R$_XgKB5Ji4Ux;A zr^g-`O)T&1j521I)#5g5K@|*2dNUL2?e30kxpyS?w6%6P=Woe%MBDc-Ygt?Gz~9_+ z>h4Y<(h$FiQF@q+W@(|+oBd;aX^3BO==*y*?iz14m$#+zM}|h4#%_n4V;#`3pL_*d zg8c|FA~#ymSGF1cR^48BZW3-fyUHf=^9whR&%LE=Dks>SA!)>ojyHWdy`kF|p2mqu zv4{Lq4P33(8M@(uf%d4bA9B*f40-rUPiq;XX{uqK*p`zLa%Lsl#Lpz#!*CsbVIcCV-WimPqGXQx0 zHW1Z)xjv$yuc5CidoDHJ-33I<>DTpRZZg~lc9kv#~YFdfch)5?yC(NVQJhmEn+ z>u1iK6_oWi|Bl3ryraw1;A4y06Y4TNKOkwiAF z?41BHWmKv0l{{41&OrL5=Rb%5!GCzmAbX-{@!)B_Xc%lP*wRX zw7t5krsehy1~r33h7FmTN0z$6mB?0xvdln6wbhzzIkFYBY-8^TvTRQN>w1?rZ~gS<+1KFOGJNEOF!gU}53gM_dvDfZ^%SJxfS_E#GPrx5jd z!#>5vA!K7K?=W+YGB-lQ-9NlFL(}eM6>T@KuF=q}(V%*kb_!r%FZL^hf#DUoYaj-E zk(}+8=ZGjafpGu)pV(;we=GE-hyf7)Y5*jBD<)XY4a=P~8@jUbm2slFG1o}M z8{>_2Rp$aj-5|tirN6Cmq4Nn&Ah=mBX8(3#eH!C zYvdaNF3{{lRp(?d|8jVN6S8^*R5C9_L00J`T&>Ug|6%(1)-0fIIN&!^v?btjg(Rak zfA=E$2b1&m-*e|jus^sP`jg)ZjUbaQ$o_}goV ztb@VSwl_Pta*(JV&y5p<_?OO{C1jhuv02!)Qs`=#HjbU1K@#UXz*98R)%^%C>l{t;*r8)@cYc zXDC}*3?79*Uz!#Gcve~yRs+sXZg~Bl+o-cg^rRUETzc=Kxe5I@|{f}7eB7K5sP3M><5Uc z`m&8U>&ifWnt{8XGH^#;26C7Tq%;|rLNF|;Wa^}vpp$BXPO6+WsV3+oq&=X->0~oe z7iBDmghlEBWS2T_>_>5A29ciZqG#9?)|1m0PL$x8ld{xfgy&Am=w#R&L8NCvr@xeM z0#BcBJrrXEvTQy1I4!s>@LAukBiD}IHxf&2d2r*(Lpj>wgUmA_3N&YL|8W1Iqg!q{b$3_CGJ-AaZ=US{3}?&!T>D)UfblG=0ook}+C2j5fCN^H zy@j+}o~+B(?WiL~$Pq<9e9%h_RKWd?6;Qj%1FWbb08HcJRD0s<2?9Q9IR!anEu|79 zO65W5-z<7pN&*V%I}oUN`5^TGMZ7@auTnTgxs$I&dZf2D8Fv~9qwXztzuK6af09n2 zn!iaXga|#wiVP5>Dqfa9hUSPQUjw|;D7__PM&3x6NTXZ#mh4uT5PHT2o;O-t`~E-= z0{@QIAzRSok*H(#n74E1KyG|_lA~EINr2c@H@qvil6J60*jOe*I4P|7aPoS~FW= zW?-@QmW+Pa&h?b$23U<)Huns7dS`mNsv2h6yKDQx-F)9Ir9}-=RH3#xokn~yeKw^m z;lZs3CzaVYqv@P7oUg|_BZC>+8)oFfoSIt}Ry#sfWQ6NG~v$qmK;8~|B3F$ zXYT9VIktc1aQDahw)b7r-O-n2^$Dul2+h>cuK{l-t3e??G~?((Ku{ySf{2u|-keq$ zBO(YH^r~#HQ8%UdpXk2t@fjIj>G1v;dGWOxj3_NM^jE{nQrEKOe(hDp7qk`!p%TPV-yi^CF;sQ{y87Z{f43H8- zNCD+{8ey-aD@u#7Ku6fq1MJxV_RPGIdI0Pe;9^Aalt;{n(Yryb`5r;Or(H1%i&~_kaks zb?1m0r>PeJ8aFGui6^_dfS>lnRFF1v#x8Xd*w{FGh|E7WV+*h z?mi9$V-->`BA-s5hLB35rSkt`?MtAeIInbT>FRx{-m3R~-_@;sLES>4yILy|u%os2R5+`r+&LMu|$>8H;94F2;_L+H! z6Q6#GU*3$J#q#@?s$QfPV0#c!t6NonRo(5s_rLdkzbHrUo0T;Io?D!$3GnRxTurE- zZ*Ry)QJTLbMtvYI2O1yCz5@yG1B74qJT?{2zejeRihxg3qgLQk(hTc&DP5};vIKPJG+y<& z@bJTXPVI%(dvWvT;i+wK2H$gfPZzS{!`k6J;A=4j*D5`}yN@lN;y+vaSb6WZ#oFEc zfyw#FDcgKkbgwqt-c$U?K3fyu;CC<9gx2AmaC~5` z;T4!lOTzPbS`#lo@r3o*P+OyS;;S*y(AYcnB zatSiMAIL19lG)pt;Tu>$0`^#~`k?k0dIx``F9Mk|6I*d@>A4NWv(#ZiP56Kv*t*FN zrODdUk>12l)rKnmbgjq0^zhM12U7l*uCg^rMqVrY{LPnMdjU!Ww}~H`stMruo^(wx zl%zADmcQh@nkuwZ1=eE)`UV)+vfgTi^{4bj6&Le*Vm7tf7V~&xoXT_!E>u1=#-+n9 zwOmF4Rv4WT_l5yV;dIFU$sL6wMBVO)L#1*={*=EKJ@M?RRH-$r-RO5KlnP*GR4LV7+e0JrMMMRgi{_4}Zyy=O-T&3mKk`ajGY7-sZXZBJzf zKUb@kGyeYCDBn3L5xYL`0mFJ#BDu5*_X5&OY;sE>FjNVQwdYt(fTLCCY62W@w7(|Q zM{Jo1!HLeRH_Vf3ut(NrPF&41=1rBYnP)b{Go)3^2d}3?K1qcV4m4Gk0ZTI>z*2@% z>l7wO)U{y{u+(|OEL}@obgL%O_8eb+T-2f4i6@W_Z4-4U4~+Be!QkzC3XsQke*JKV z477pqXI&6#SI9(qqYx%{}OlaCVLMLK!^{R^Mj3H9$_5b){< z;MFGZrjVV5jPgIaFtRXGIDX{d#?F(U;XheBQQkRwuy)+Q9Ow5<&P`4LT3_m$$@0lY zyd&B|sGuV2u1cOMJ&x?;3HB!C<K4RmZ*-^BVAxk6_$~3u9xsVg7%Re;~FHRw_!! zi7@cCatCvY%am}@m;+y1LYJx8lH0K`x23PPx596!E%4Km!+dg59@cs%wN;`l)uq%I zl_LY; z$ickEH^@b;T4_ev6N7yD;}SC|r9#uu-N9YogYJ%r9yGHAKmGF$+T=4-Z4)Q&-IW%- zXE(3`PY|!*`jd}S!ZVNV+&Oq~2>Q@`D#b<6D%hYf`1rGc#}5)3=t*-Q;ReAMuZHZ6 z5VMo~gBs?O)qBgn+8vdH{Oz^5@=%Vi&GPFfyC);Ou^txHr|V)-42>FIG+=eBAC21$ zV&c$u_-XTy^GgKm%VQnx&2h$4mum+fM#1EOeE>-9hsk7zaRQ*7^R)uP1~06|*mpSP7% zEMfGQfz8-R>_;}k=L?n9aE{sN2*GK^(%+ezD4T1=N(e7#ICw^K?CL)BOLYriohTR0 zH31wgEnuMI?e##pB}RU~Au;{s51_dy_H}#!y+o}7*xLRJ(9OFr_DM;#d2>h3=hGm% zJ+mv98#;v6CGOhU0SW0Tmxk^DguJb?lfR`lS?&l8)yjO&WD`Xj%2y{VUX%dDHHu!c z|Bmu)fWUB+$y;gy9H%@~6PiY{w`$pYA^7{(E9PG$<)N|iSU;fh0EWezf?CIaqIR@d zM8qoc&N&eQ}r2B)nFXcW81Ks-#UwdS!6 zYnqcb5_7JbVkQr)O43vgjYrB}t%9cIN=9jcIh{_wfqX&w#s zO!IuLxBP%hAFJj0z@%mJAsD?Vq@uP$BSbCYm7}JrfYnP!VR7WGx77qVb}yLd(CGPC zO~B(T9zv0gqF(t{XoRd%ucPceMyTz0{N7kE|CNgM0cMr=mb};UX<@D|Hs%FN*^=5L zPlYQmi5JpA`B2Ff77zLZkPp}(3P*tT28@aQC6W&dkPo@+mS%=tTUTe>3d^>I`G>Y8 z^m_4Qa02>x&)PBskqf#(eESIf55zDrP3$B&WTCC5Oa;~nRFqoq!_S(@$x3JO^1E-t z#A;Ej5CE^QUW9)tDrUg1C7r=UPR_Vwh7P(@p@8{p7G+_-Q__K7GMx&SOVOE;!OU%` z3#M-8;3ek#EI4g087XPNYsAo@p!>#uqTT$u)w-*X{`C-_^sj%VZ~T^5{4-+1a}mRQ zzKqk5sm`k~WorXc2mwZn;6wIm3j9w=KalH%#?_AhM9^BTn_m~;;6wDU1vq@)`d5U$ zasMr^2>uzVJ-Ud?U)ksCNl73elE)p5$w4H>*+f()DNyT$H7h;6QsoL~0}&e86|I-V zLNJDCET*T$cl0A>!+jxlVg6&&y?b0H+eptFql>fIu7h7$I`rhNX*Q7e=W~UKFWj}` zzR5(zN3ura^76jDT^*UG?Cd6Z?i;^s$gHye&8V;mv6eXUcj* zSxQX>)@>ggUfi0Gl(u&ThWk1lj>+`8UD3$=`l-9OWK_z)@|!zu_xDxf+i&yr^6xAR z6e*=6lZabKMm-(FsICOa#~0{-B-R1X=OL7RFL*aXYeMMtL>X2o=;9t?wy#Xfan;;ZXLdWA0u`8}I^EK0bR0T+6!9~vv|UhhwgS1K{7gd|bf zP)SG`;#EZ?kwZ`JN^4A3oq@GzVII%yFgw@no7|V^4;!W)c|K1@}Vl)ru(kFpe`8UiGQNT!f7m8hEvH=h*mNYaW2a8C;V7|3^F-R+d zYeh({sMtgU|E9+HtllI_?_A1^hs*VZ4$z=Ff+sFa?#JM(jtt7#HlUoG^CNv(ysdCai0Lf{J9f0#aU7`ye(w+?Mx(gRLSOzFbR*bv9y+ z69(#bz=NI<@KJzt&!sxrwd$Lpdk8HBFcIYNO4^vyg99n}U8fHHpoDZvb_^sF{ootR z4G7S_)r1&8zI{P0-k^jSAl^uO#DG>Ldn6U7ednDkj)0;F$(diRIRkCS@= zf5Jou@f4~Ro+-fnC{Q^)c>TXnwj)wm8QiD>3V8&wE~O>J^-q1rBv&TCLIK6XDk`MO8@uO3Pg~I zZkvDxg>k>+IW2tDi{MG4EsrNkC`2Pde`0*bRd7enB4i?d{T^-cm9qqAwpf#1~q1h1Ea2)f<^#)C%4&(WMue7JFV^@a|3*i{=pJWIX}92S<8pPv{Hqbl!_@!@i&ubP>3G)YS{AaB2j zstO`Q5e5-@9cXuA*SQJkcw7)B#z)X-dZBeVNt{HCh-R4X8VuTJr)R(!FgE4d+TRLa{Y^>@QEe|7h^a-uPRC`EJZ~5eT_!29Y8LjUiDb>yL8<4Ze;5pfydW6H zAs7l(uZq19FckV114sY%jwAo|a8LiyuO9`ky?^H#J}?79nSiT!V1_?1<|qI6;ct9m zV*R}r?f|dj;PsyB{kQga?Yw_#{QlkjT?_Z4PRZp{^bY~`V5j6csZ%o0qZVaNEy|i& zvV&@oK57((SaFjiYL`UlzqntrZI@(~omsO>^4d+3;LPhaNwyKYwVNa#TZoU1l)_E& zXR*3Wip1p9rVOm-{X1(XYLYC+#_k>+-rnmZe|_h-9;mRvuF&$ZWVL0#mO{IaB!?5$ z$p@c0zTv*TLuL@$E&rFzb3=RYLGkk`6hA}iUc#0gkk_Y4K{ZXOwNisAGRG;{laVAk zu^=|>Z}l5-e=BL)7S-N5 z$JsvAl7Y@`X~}2+Lfm+at%92Fvnc8%(uF-IcgD*bDoMB_o26Yyy?jBUEO|B2A2LY2 z8Ld(DzvJc%T=H6vqN%TsWGjul+7(#EZuO0mT3iPVF~-pz{z*{U8Yb^B-$1C1yjQ}4R!CXrnM$3t2J;eM787`oH^9t zj&;ZV!Q{{u0&|lg_WBYuyuw))7Q5&MW8faW8_BKhitaKe1z<1knoFgOf{M)r-E z4F>bbKH1cL#MBAiS36$r+i{>g@y6`N*vxhcDCw;#2VU)F2#x2b1zjpcvl z5G7M+eQ}hn@t!c4AOiW_j_mqGe6+(E@+e^(rwVqDH#7O#B9hs7aM+PDakh>f4{Vve zXG`*z5YJpn5Qzx@BWKiT3{nc9xf?KFPL#&oes3G;7`V1<4eZ_!mCNmXbp4S{EiH(R zBml(U`7L!w_P4|U@d&b?3Bnl4h&CZ3+KP;5D>C9Flo9P}1||P&x=by^dvrA$pKqj$ zYFDA*>=ZizuU8yaSHiJvm+ygy`Avk z9n4AAPnBD@ExguuIu8JKF zC~amChnSnL33EIiMJM24s(*q&?P&GIT zd(lvuGu45zSkL3!=08f))pDwdtZVatzSL+{Luo6MW{t+S<|JppxF(Yzo+;o8{aYqS zMai!b1fifJ)L+5vchToR0}?%gt2NH&MpziVr@Y>j0a{5d-JKfY*;H+?n};hpPe-Oy zxQX>Duzh3>mEOWtNyHHyIJ7%e69!A&e8hsBBy>D-l2FAns?loRjpxRx{53BpHK#A< zZjh2L=bz8KcY29Z{C(Mv!PM~;kuG{bm-DIVIaH^tTd#A2FQPlOg_!0?_`yLx-@#M- zoIX|S=1ovhBU3w?6dcO(m3f>Fz5-MC^&WANbPhZW!LvN2q51APJtQO`qe&#AVn>Vm zLy=}-7izowFSn`>wH-wpV7r&pIK?qS5=Ko7Q(nIWF40eiK9NUpR62#Nqww+QXIm_+$KN%(+n850OA*=jWzi z8SyhiQ}w=A%)bK83IWbRi-hO*rj)+KNhcckVRcQY550e(ChX_uDu|VHQ$uk4i-LFo zjQfznDgB}dI(;LZv+Y&qh83!b{q^j>Vwa3j$rVi(JU873pT1;HqqBocM06w0A zI1lu<>MMr6Vi&22!Kf?-qp}#>wNYcHsxb}YsD>|-Oatrz!x)Pp*-K4}x~`H+JV75u3kwa1hZXqRb%ci% zskxp;W{&=X!sM~qJVyD{6KH8rO1If^e8=#K4GN17Cfii?6~nu>P7mGw$gNbco=5wC zGdo8kb6ct7jjSfx_l7y702vODe)OrI4ExELfxI(71|U3v z%4p>Seh@DQ;BGo9;A96Nt^|As`4xcUYr%SJKEz!Xq7(KsvW+ch?=^hmgfUWz_JQZ%)E6(LA^;|{%S z`2`sR_YJgpLS~h0Sw{bfQkw%Vo7bqI|5~O}YZZU~ZxAt3rqrwHZCaCx269hS%h7=bm2AH&y@7A^q;|q90snJh9#UQ|Ein{m8!k5e$~-7zmfxavwz$`qbZF^sQ`A$m&YAG~ zV&L8KAAoHG{;4|%9M4xM>VHux6VN%INlE>PB44Id=77`gft*%Y3=KY0pH`{fIoja5 z!J<%U0MivZz;u-gFdY`h!TojZ(mP721`?0}nmGX^AV+)|OF$k_!3Ys(pk>QKn>Lsw z?LZeULd-=wX%np%Cw;_`DyS(*n7f1L3=w2si0sjj8b3^rKt5|U`Hn<2q%nG`#(D|_ z?^Bhl?@tl&1Oc7C=!64x4TZC)xxiI;u3seBO{%Bm41>WEyMG?THvk6=`37 zOG%nzeox4vmR)^OrZ)Oqo`{K3E&m~{H)|OhB5&?n{yYTzWwd5Jd5Qe0-mH_+a|$w3AVNkDiLDNGDvT8*q}2 zK1fyv>8m=_=Bj!^HbYE^X*{@tRSKIEXjUM!Uh_d>at@LrKx0bunxhc4zN-$pYsPJ8 z76sM$VR^pb^cyMpJt~%7{(B`G_IiUB6+@Er+j3*j?+zQ~%g?YzMr+ZN{W6o9-eI-t z8Cq%3y_2P0HftC-CqhfHogkwPkT?9pp zQQxIXjEl$+1H@k#4M;4C!44*LVPfHA)B$gf-I+JKBU#b(nQ+LKumM+WBK_^B)9RvSY)i*pXm8^ zHsk9Wg~+?$$?c4T=pbgr{k9^P!4n(Q)-#^q=L}2qlj-;u6vy!1-3YxMZ?0A1Jk~RU z#}NFSU|34iPYP*8{0oBOSj)CrXos;nN1GPL<(RGLi77iJQv`1w{KO|y6Z?lPsd&_; zk<-vTDb(>|pfWizo*Id26bcYwcj-(zwLS2}r)Q2%gykBeQLQ)WH5QXv7O?Hwz3UcF zNM(dQojiD|yX8h;$GS11@OhP^`&n`hgfbcOkrHe49dfAX_*rhL@Of>MtoLJVbBUYq zATEAZ;Fh$7&kNdSfff^6@)k$*nowgvi0^g;jGRH9+dZ`YmVT#yWM?ry8&?<*N97O4 zEAcRhF16l5G+fP6|Asj@lFR32J~UK5I+Kb<$tH8{Se5@o_Nf^q&jUsChgU= zByLn8tzAJH`1Iye0ysyO1!^uMT{Jk)pLk60FWFV61^co3=3P*~n|HjbqkeJL79nV0 zeo=>==H-*7#QJ=+SO}=qN_{Yu@AaQP9UDJ5RtAC4L$VEHp{}r*k`YcvY+aJm7_?@m z+o9L0n8!|+m!^~P@d7(`YQ{N-MDR&b+#7$@&+GHa1++}jEWK2eb$|pJw z2hVV4l1JP}^+(Y9MGZHOyji${8xAZT$|r=5!^z+oA<2Pnd?Z?kXillu*G9Q^eN;V- zUIOx)luS;`Q#Kgw9oOo0n!DtBm&FR0J*@%uY1)<_FWB-{6+MnG_0dwIUrFG9Y)^fQR;iJ?AULpb84e823J z04`wNbV?wsEVzVL%byg`RbE9`=_#EQ;B|mV`AHq!bRjzmBt!%`aH$mg+U8B z8v349CG_B1vATp_E*IZX`*f-alRhwfQ@5|rq}E0Kk7p;l+@b1`3I4VbZ#w4ohn$=v zIJ~XXowHulXudtr>q@wE16}TvOPB4=JruG}jHL!b2H8&?oH=FB@&%_(tG2NwJ0+*A z(Y|1Ow9CUqyZ!MIuP)~d4cfT=RF3a-$r<}!ck~)PF-u1m>j^I(@OmkkE5?QV2D=~a z>PCH!x);nyqFp{0H$e`%2@Mcu1K~EtZTfSmrQjjkQRb*rnBCvJ$qYCmr9UUskCCde z`&$z7kUH0@#-{EC&V?41})?c*!C%<^gJee?X2 zjE9Fe_XA6{8|W#;{0UWJm$3A54X^LlRr71&=PPOv4P0nc`@o)v^>e&3GFAk&nx&wqv^}gGZvyH&mv=a zQeco=i?`cI9{1qfVo&=UCka!A@8Pl>xIc|jt}T^qWMIQ2GaH5F!#qjoDyZjN&sRm=}o%G3p!+T=v# zG%Edl|~h^@IPAz_t;fw2`efYuc5^&-$RMQWprGAYoaRbTm@vTMP=^_|(?oIC2! zgxAgXiIR8%P%AC&oE0`U?3$i%>(9oP!Z!b+L?B#Q2(20-jXtJ7D>NO^R7ZFXYBZi6 zTC@|8p=R)?J$<1{Tq1%a6mS%Fbq>zvTdY|XKGLaGkAxluSh5Yk=lT+O8Z+>^#2s5L zt#3m0_MvrVtCngS6EuLnA?j;q!j=x{j7X&l-d>%gO%pJ-)i zU7Z)JW1Zr{I%hf=w@CuX=s7m%?A$%nh;{f(yc`dA!dR!WG?P-9J(lHn7`V5U9QaW+ zsGfjD`HtMoK@{txy1t#s!dM4H1>pJb7M~wQ{pUTJUK|zV$cIa2v!*xZk?BK=$+PyQ zuFva_F~@OWP(+1>^}v9Wj&1JHWvyJQVK zMG<59euL;Iw>;xd`_o#-WpZoDLuivHU(Nq6Pvv_abM!NjCH2Yg7+*9}M(#1@So1z) z^Q{|^l_L4%Nda#u9qD;YK<66OCsBbIu$D%KdrXvY404RNBb#qu57yJ&RevjMiFyG= zYT@GcZvSA`rMDXnxbo(Ae~4mytM7<8c1CcROJ>a(!F)uK zdQuGWQK5~5(}u#kmC;X2gam_q_!;?>T+Mj{hFx2xH5!d}N-oB}p91eRpYkW2QMpXc zP&CWgfzu<~u|P&)^z#>tl99>4kH0{%(f`R83^I)g$@F)rdl?Jx(eS>_st{IZ51dVm zAr+liv@QD2)h)wx`%aLtZx71iG@?w;(U8YNk8$C5q|*U9?(x>GaEO?L~zkmEDKWY z%B*H4`5^e-JZ|)9e2^^q6CC69`SkYf`d!#|RYd|@kzGc;_UYBkrq8PiG7|y_!dKR+ zPXvQ=jrzF9~`3%9D{7z@U%o1lPN-H=`7N91D^D|MG=hg24Nbu3D8OGOeh8nV075{$zX`iinJ44*6->if zUHjQodBm{-_RT2^0o&!%C%jbhA5>N|7DhtO!wIp zqMx09*5CxNWE6OaQO73ADvhCFrr4|*q-Pksn*xH@F1w8y{K_v8T1fVGuRuR}ga*9V zZgYtmV)rgW!#m7&50BFi;eXWX7!rro`IT2-GpD#^16^99hX-kfdiDn-vT-qb?PsVq zfD8B-ECFA3WiSk!I-$|9)(LRSNuR~5wU{QrtqC1#ac(zj`R&@B#1$EEoV&=M+RC%D zQpwXp51A!_D=Ueak(XKPxGf?g`BoPX$c67Pz~Hvau-`)7gWrhLqDz+DJfLu1&ygBE zdknYmCLM`0Ixf8j2e&gUtWum&z!c5(I`|7^fc3gNGvWY#amsCi-_{4&95ed2w# zZG7NWN%bO((KAU)73Z|d}_orYQ*bF}pf3g~AdW69km>yw(dKk&S()9iX zt(~3zG7m+QWzN0N85F`D6hxN)!zaq(pc>w!XDnu!<#~YvU3P~7?n_pi(P>JLQ+g9( zNQ^iQ-k`3A$Y{ap6b}MDmf)@vek0(*e#)`{i~rhwb~PxnD}fXAys!}M#uh#R@9^65H3BU z}UT@ z?mlEF)?qO@%!1|>mgI9>HlJ+Nq+cLkQ*)=+{zfb5HLxJL^sa1ap*iOqro+P7wb6Hs z(^>*WtN!&_wIe#NB@#Ndbk5FeQtJJp9f{$We%#2r` z;)Hyd-;Ef|tngyC-*pNdOgPXwrFL|Dj>)3za+$uDf$f&XA<#gijX6$--^ggSmK>Ke zXw_=1L8mLnq6Ot>?G^(5!KZWrOHmxJyXbX=WR8ik%RF%f*tn7jWEZ#!tjW(lbWdV7JlXL9094mLH zrz~1%dkr-lyb?+MNzZFYMsL*9*XVgI{9u!^(wAqd3_n4>^$*&XK`d65r-ii--M5V9V593;5>G@&m(c8@nYO4Nk-xYEw82UieMlR%nfKo$JEr+ zU-3S8_dme*vKvdmPx~Z&H;GNjm>w%o+Bb}0|ARzR_SMfya2PAFxRqcNLj-!1!uK2jpxY;CGr*%-2P!zlR0>Q_Fs|Qz6z~Hh;cB77{SW@NmR4*w7 z5Y{bLr+`x{**Ac#_9um9rR9XsCn_@&RhlNx zrWeEfK9_zTcTjsVkE-oX+Fs`EFCpnDI;W5wZ+kh#llGTX`Isdk+0L79+E-W^c6RWF zDNJXPI8L(Y07i2fk~bVVg0|_4J_j22pd-lDf)=cz*ZFB3&0CeV_0rFSQ?v3RylmrN zqG$496JAc)X#S-XJ)I9F!o#$;>5Sj0GQs~8Cs}n<1|BWdedLI`ozht)?o*RPU>rWt z%G1<~POoScXhw7xQ63IX@!&_~IFzoKI({O6Z(%fMhkqe+;KXq#To`i5{?psZHY>6e zqV=WUd-}w{>hbS?cPYh?1jV9Qa#zFLJ)`8VM3pQL_|kDRkDb9KOu{I*!`oRUdoXi? znm>3zF8Ut?$xVP~tOdyeoRgsFyY+zVXkXAp61>X_du$p!KN*$5djlt){vON>6eXC) zN5S%APlJ_5v<8+UNSNL4et#V1@cUC<@Bw)gYKC&Ptr1~=;?+|c%Nfr0tSVEm>{KHN z%URB(IMVj)EWtuiUKFDTGI*3^rjQ}IvVac^+;1&@zvZ`(wxNE|(gzQ6hNEt$%S-9} z4%%Q5c&mZ_Y*bloV?E5r{YpM6GX7TJ)dcJvNxCyuZaO0`sspR6Rd*(3CAmvw1m#1V zai@Xc5AOGyKH&6v?!W;wJB);XJ}~)@cwJ7n&p|#2wHq&3479_KwAP_161G;qhppp2 zY&G1!8t(s2*}qQNe{RYJ>)xAif4{QdKjngT0PSC|Xt{6V4-zY=n_)k-QfciB?yx6R zWMz1PX1M?oMc5-(`Y`iO$jTW7xM_x_Lm{IDY4u7YLkA&wS5}6Q6jWHPL=fqDhN!Ht zz;=;gh;{?)gSvEYISFqx>Lr|S>fBZmzom0ot+?S&#A9?I6qd9P zq(9{a*bLJTXr*u{KyTi}1VSN6dq1EA_?7U^b!mvk9*x?x5_L9}6hjkE0~l z637sW9rz^NOTb;E9ZIU_bQ*|Ri-Tuu3&cSUE(BcmfQ2J}PyAnkv-s>T9}hIZNeH$a z*`6Z{B);8f)e&gvZ+MT%cMt{>dW#XiS*u4>tk=Rk)#INLKP0zcmFft}KVwA@oiNM@ zwkCAWk`mva4f%heEEdQCrA5NIH_w$6O^-bAB_#&mpHl{k%Ku9WC6Qs8i11>hM5%cS zDA1}=I%E9Gc)CM`(c zH0M|>NZdF*h5V=4|I)>Cj|l>bYlThe=_{!ywjDcw9aMekPwY4#=T3kviQ=eEF08_? zk(bHKu-3J^-}8h$#rr-I`#p1MV0A!K z`OXRF(EJ%#`(IFI`Mn^#{9Z!+T9{ay;*RowScs=FWl&bx66-WlF{P3Om{ zHK?(OzZjW)_eccZe8xiyO04qk$?Q_x*2>HX4MC%!88YLZcZuaNa};k2T5$wc1~kZw zb44YfuM~p~{d*VYwN~UZ;m!puzDTk(M`jJ)s@|Pl-r9;1yGS~NlFdNjeL}1$FInQZ zuthtcJAB2&ZKEyu7N&IHn-8~Nv7&-SLpuP$i_WAck1XIkRejez`J3Ax{rJJI z$$t)Zm*zK@1T5O}xLi^d4}Nx$0L^#YxVOL5m{Xk_uFereU#xCHeq`GfJNhblZ_KyS zU?5?CEnT*vH?MW|`c%n^Z|rR=>)UiAYbPL0U99~Kcn#~tL~u6YiH-bhu&qrN`as`k=)B7%FT&0@ zj>;DzqwM+0wIdi54?+1sF>cQZFRq4fu^K6kTajWRErLQKWyGXyse1M8pbj2)*L;w1fn|}P2)s+@&Y46pKZf@DrSB>5? zq!(If82(bz57M7J+U{!Id-Ai#9tGgon!HtC*%Sy{G-Nz|W1OHxpWW@#;}i%HdO6RV z6S3=vYw^P%{MiqIu3_Ywh66vlx4UWgecwC1yyKR=!!5ajQD6+RsFw_S&SW%DEMjk)MqDEJ1&<>`rCOV+j!=|o;b^bo6 z^&Y@B!**(L>Ks{4mMVSg1uBP`@K!YX3;(j14Oouz1Az%(IYtf683IDt@7aQEUcZrt+H*ZY^; z{nDNk+TQijbt}HMJyEy$t`#f3x&v>Jc4l6pcq#*4(0vp;}VEHy+yHz@};2Tes z=E85RdICQ<&UwAnjtNkm&{l~Sf{qRd)f1rR7`<9?(MFzXP$L>_irxb5b=)0GNyOZJW z?r@SYxF+z8r!j-E-dHbJO#nH;b}oxf`TbPqfIIr>18(W#Vj0}9;%itcbGN=8v66DQ+xkrYws%|g`a_T=;BX?7FDRkb^x z*w(UZMU{?$c>m4)h2Dl$HSw*zMf-Yg-B4%ocsRY;Z86$-+86Zt8^r5)5dc22#3;S0L6h5jMrLw?lk_xN?gadeGWiNUfnvAeRo;@` zfTs(=TW$W_w;7#=qBJ_@Z75(?lgH^4h(;|k`)GLW#oGcz?!~kkHv}U#kzQB>Q>uhj zf}fuZmxN1njtRW&IHvPX0PI9f4OKA#YL6H6TV{kR<4inji2Ya%N_{c~lNX@$QYeH*ZgO2meDmT$f9I9U zJw>4$qf_>{aJSaLQ6^)$&bJ_E+anuGPi{W4t%^6xrjSpt30m1{^)_#8kFRcU6BKFB z#RacdV{{sG!s&ZSqGI@FWP9E>b&mKI<;6;{R&2e}dgj$n;LYPYyIog0fw!E-bkV7* zDk=v)?r~#ZasrsM8epbSvL4pjDp;XlVk1gDo-+1PDE*8|n0Bh3E)ueIUO;kYUZARM zfUjSbZ+&dXl51DTgQBr$@l{8+hPoPyL=B*IS~gg{sC3PZ%Oiw6xoBl<(_O1V-!;TulSv{jtIJ5FF$N}K?7C+z*) zkP^#3<93eZR0o4qs3JH2D4Gy~qY= zlJJiWW{xJ_mRxqb;j^=-*1O_S3vS9LB>NxQl_JHE=pgto|J%GO6U zP0o6;)$`PSrD}_rZU$j1sQ9uW)o-n+FqSEtu1=jG-l*Ssbko|0cGTxB+|pXNI^io` zci-5^ovWk1#x=EV+dIR*+O=u(E=To>`gL0)ftK|x@u9fqrbE}=2)dRX=r7FcxpHy+ z*z!)lr=@$Kq6wmMQO}l!iovA|Jb{j7gZS{$;gMybruu4k>Hf)wqn(KcpSM2QUNF3A z6RZIPuw9sdnkR-Ov2{ufa22B(fWr9J!WwWYlt}yiOa-a{1xVGHk1?}bh0IJMMgIMX zG*l=IY7(IQ7Ys16BLB?reEBEmHwl$uFm?I`k#l6=&u|2Q5x!A};q|<1_4ZY>V zxAhm|_QtN|u`T!Xhssh%cU|?Zk?_<0rr|{6nmVVgW>vE5cJONN_a3@!td13oMwbKm zMM8S)+;?<+kVZs)L z39TvlX zZa!5MfZtg81b)>xXDOm#@mHV79}voCF=VDn&jLu8FdwBnnSx2_@;T@@du`ge+!&mN zIpjQSe2{`I)nvKB%rgYb>ww(RUn~r-tzX+&qNg}5#aiP1yW)L^`U-5#yZg`KWsrL2 zBV&BHyESKFzc=jDh)$#3XUPfJ!kwvP<+@E7Tm;y9NQ-yDGFX|V#bUf8p{m-R#!qf=&OYcAe0&57%vKKQD_iC53%MAQRWa1!=FjB>>pP>U5{ zH4p(||Dm9NUI#=_J8QFeaU5Qxfa39#zXmFY(<$Y;&-XiK_t!4f>G0E+g~qS8?mw2Q zOD!!IklUe#W7zz*jSHH#_e4WI*DkML8C0OL9`({pQrZ=0k8L};t@`+ehqu*8Hd(J1 z?V{umH8!`+libkJFc|mf=0YQdmk)m#%ED%-7oLU8ScWaYew2xVKlOaV%Hs=%IzVJk z9E`<5Qyi4VK~5aRC-A0(N#}Ixt}X|g%0Xv2s3`}LasXSarc>K7;Dv>bLJ;t3py|`_ zHdsstbQ4pbCRn(mtC@<$sGtg<&7T9%$m%yEk=3i;Q(_4yEUNrhf+lN2l^m;?O2NyG z!7P$Ce*q+=zX*~(3l;8;o@)o{a|J27c<<4zxm}3@1MIW`hSPGviiM?U4KTvqxNv## z#>0KVr>qqaN;_I0l*ZS@6Kfh=;K;Ivu9^#_yu|5wqd~z^(a^R3@eG#UGE_5|%t2Us z{ZktYV?FC&p{<2=@@XikuDMidhE%%#1ZJirM5Xd2sZ^E}DwY2(l}-;w6HhBp8l`w^ zj@=&=aT@$#@@}IMBI%zmgQVe{paP@>wggJcGYXGZVr?K3$)Ey-R}n#11&XtR24>4A zGDpg@N6NBC%Cbk4Ah6<0FxYl9mWzb09mKL}V%fkgvyUhN;A0bzer=|(GGZN8!opd;LG(rvaCuUw)_c@u~M;6-!EepbtUNw}Xg zZG!w9@*XwCdaijK(tWbXq=9^>Ve{iX#lyF*EWpd}8A{#V7b@BG=+2%m4J1P1)Bfa8 zym6q`X@gzdU`JCucy;M_RlYZwd?pBx8bRVauKV_YCsw=uwk69SxS|zytG@hjE9CoF z(c;mvx{;<_&f-Q|eLbw3@60FsN`>$%6~Z?h=f@zz8;(&MKTr4uNcdxj@C~p%-T1`` z|DEccPi)(ABvoFs{fX^p`&77Xq^5aYlRwlpQj4~@eEn;OyBZI^a@+N<-Px5m`072o zzcCc9+4R)`xXr8G^i>pKeCkv14jICHSk1K6*LcFGSbZB$IM-1dkk$7`sM$kr@wg5d zeH+J9${Ez={pd0_-|YN8@D6M8%2tnA^IO5JqX?4Ke8L&64oUWijI2>zrZmLR^(?M; zSw*X;BOhS2sv|Va-vH$F2w8&FVcW8tK0IUby&-GyEjv|ep`&BS+*^K(Uay*at1oZv zElX%q>J`Y?TMmhRea6^ZeQ9HF<=;2@Ug8L^B+TTaaJ!bhtmB7DG z>2**`(J{=S0iW0d8Sta#34b@0Dt_1v1&{lk@O+KYLp_H`VWpIlvE(^u#AHg9a* zi8^*9tJkE;R>b|r{A5w-%9cVD-WC<8Pzeik(cYdscX?M~-B2wKgU!gB1wHR}ngUHD4JFH(3R!~6PxirD z9h|yI93pcdpvE#*-I$M`08`^3io%K}z~c$t5^}^YkcB=$V14V@4a!uhBB>hj`W#qK z2$0lc7gDp5%GtCK*t2ul|30m|aRt{8N&Gh$lgEOh$fXNl_mD#UT5t<(@SIENB$ULq zXJ=9!oo4nLmD#H%fRNA?G<*PsqF_{>B~O>Zb>#vfOGhfe|gDw`y_WP(MKtvIqQ=)1gre2}W)DKLG(iWbt+= z6I-V~A~wL*s08Z8lxpXN>d=(6^O_PyOjq$?G-{+go3(?5^x7D_c||gQZ;u2P=K?{_Qt*wB2#T_TCu7 z@q$f86PZ{YTQj_`S!WU1q9wb#2i9vvi(&1d9>2eK5t5^#sZR;RT%vBw5OqBwY7w@$ zC*)CX!zG9sor6S;PF{+r%jOcb0fiAx?;#DAfXx>YDe&#d3!*3q_+jMeNc>82+N`>Z zPjZU;s=yH&gE={9EC*S5JK?+B2;U_l>P~ErYT=FHxMJbOXIXfw&fsRK%#*kUGGtY< zzC0GDsmNQlv9`A|FE=|GbiSF_lud1myp^(zQEhKczuS3JLN9OYRW<%>M9jS3jTIZd zJ~DFGNX(NMY=k&yD;&6Wu>atSh+NiNn}C(zm7&p+j+oUHTU6oLlB`mlPD( z3Rk6tU{!I6C_DYT8m>^(rR4b%Bv)NvA zKAQY6Cx?lS*)K5jTa8)fUkgkdtvR2{Nq(48k~8)TDdtiw206dQm}~x>MTqJ$9bJY{ z)5g0;^A|OQ3^a|C3`=Q))eB>tTRL)aOI5Nxw{L%MC^mF>sC8R!U9R}JySlriY+;#g zWSzUdt_-h^-*jNl%5t3`a4awB&2|H+7xk4x``h%eDnys;Ze4K0NVTVK#g3aRMs8XX z2-Gf$tR7=|3oN5$r92dl~+`rAk!-P(BTu6yyRPNs{ zL5AdI$#8N0z&)E6LcRenDoPKz2N)9plp?{VU>aIHKTTM(+ythrzonP8{uWSLj-Gsm;?RP} z9QB*`MYE1XZ5Yr{uzxSfZi#-5foB^f8_zJjO_FQ^ga7pgE#N&?(ZX}o5rQO8_zULZ zE~xCLrm%JJsmkEHpoxFgB45W5$Wu|sQ#A3q7RhrBY`;>UAI}9@E8U}t=8s+=BVSDO zN1^7A{4X@WYNtyX`RlbtpH=o4nRJ{JP>dig4X&jncYz1_)?KeRrzi0AV_+4?_xWCD zIEEzQpVukgDVtofMTd`YqN)lfH+u2T$+r}L(~pV5**d=#>iqn&{4%8Tt5ls|qv-r- z!~1?e6&;n&|4%wUTBGWGf5ZDJrJu3S71nOKf4FY2HJ?M_zeuR` z{dKDvYyO+KF9DOQDAzu9PM==RIenJi_kHi_y?dr-@7a=BGRb7$_m$8l1OgJ4gh0TB zRRu)-pGW;cK!}OiVAuqt5ycy?NCfoi1Kg{KK5_*_xfjXguc~u;re_iY+{gd_gZAlD zr>dt;)mQbsRo_?NySg{z>D@Bu&BcRA?b}Gi(uOJTCRRE6V{2|(n+1!OT)#5In@l=R za+m~*USopltT?}%nU%GxRFuUB#-ZAWfIxB@bQAwclF6})kUXqc#xBzOI2PQIB-HxU zakLu;wLVc12(JA5befL*4Ql*1>Pq1(ZEsAMe-E`iZH)X4Yx*~)w81CXKbsyQVREde z*4(;o_@V`6zaU2Yw%xWqJkS#1)HHy?q0#tCvzzAbnC+tyH!N9sN0dTwjGnRiV$JH6=JZJOk|jQ8 zc}aU|PR_P{=Y`vW`L?h6dpGrE=g;nR+FE<&G_`LWOho!um6lweb#?U51#fnbj#fN{ zOwtcnN` z&b^QCyBc1PCg$xPUUvDgKR$NJ$kNM4{N(;S-aEK<$#>uX_FeBEUc2Oh_rJy6_Wb_# z(Jwr)OS#tl`e-ZRCkpa7^^;OXXO&ovbuFM5qXV2LQP4YVtK--TPQ<4;|0(W#oK7|C zc9!BiRs(kDgX=4R2yN=#e6VG^h%4)RJ1eL5cCLAtmCCL1_D&ja?<_>yJFz3`MUC4# zGwrbt%Z1P;Pg>dJS%^1zVn?g6WaB2!xs0>MPvF#tth431Q{M;6E@+WlaMjedZZN~Z zccw|TPHJ1SqifC0tJ9X*2R?I>%pe2pu)$^2X+)33#Exh?RrUPPao$1dfXabdGEy$lQ5ci`nBYLYr zF!)2x%%a`1J1>~u%93<`k?ha+fl5W2F#g*zh5z(*{P&Mz7Hpw~4Xxn11)wdGd2lmIj z6R#pYsX}Lf{c6!|HF{l6iTs;Zuah17e&r`$Iz6ID*>;+TIPkLi-cM~32j(aDewr$} zbk|=IsGDz_E?OS}1#uY;LczacC^#S4YNyN7r$&S7sU0Bf;n%%=UWP|U&ETxU#QHYR zK97%|HXTUbwJ4q6N z(uU8&wSwa5N2;l(2qnHewHLNq0}5KKpr8^$L2wO}I_8+qEKO_k3v-M|c9L_ArXKS&1N(-5F-fOD7 zi*)xw*j2hCn&~}^w7UVD;oqwUD(_+?zYv*mbVsY2b9L(fLmw^j(S--Ub<@@kRx}&j z4zp8KV;`;iR*5d;qjlo~?4xz}e_XQf`?8N#$NIj|l#do{2_umNEN%(=46@g7o$NJ* zg6M~ly)*?HXj~B|vI+5Jn2=5r7*%`!HTK83CN1Vb>XCZ~)+!VMS%MV`m@OB8Tk ze0_Bz4=*-iHZaCI>mVikaUcbDmZ|}xsHo>MpZWg&EAHEp%vQgD&6V)_ecqYu7|kx; z-f8jlY#uBx?u^-Vdls{nAgbX$$qOx%hgb z?r#RMN*Zvu7eXNq2)RMX1%gfxa)6K>pkVV>5XZe^K=Jpps4euUL$ZKCjZefCM!C4s zTitV`}{VDVln3_WeOMFWL650ys zJ{DXM7sr974o}c-h(ecfGFeL&FvWTDS;c@n#oMsDzE#qFq6&XPjecVashn%G@F@ zS81%+xqc|ep`8|z*4o;aU)U~#z8iL)Bn#&UeX)Sn;4uppkvE5eHVpc%y!G(K81&(N znYXAfBh&|G%X(!6Y-ks1=oKKRg-w}5(@qYzb`G_64l0}qgJ9uYn-Ppw5aUY(W{Dtr zkx8Ve73=J(xTF)6bSlFE!pPJKOmKl5h>oM#g;&I$S4I+#y4wglk8iKQ+x7}3Mra3k zSSZ6o_Q?1YU=-70U}lq3lfD-in<9F5L+g~^1qzhe4p{2bLe(iIn*T38g``?*Y+Jmu z(&$qNE?$1?mKL+y%VVcPmoE&_>f(IMvPuJ5UEUHyr%z(osSq7KG(t&9FS=AgtD4dn z^3}*f1oEPcRslX6TWLddHYpHo0--1fML^gEB2Ey*(`VQQ!d4KmfQT7HOaQ_NC?p{o zgj68u1b8kr$a87RVuN2SXo|HcVt}p>!``>J(rI!0%!d^>%psy-kIqlS55$Ipl%R-0 zTZoU55)ma-@=U6N3JeW<6{gLBk5#H{=KL^PVNXdae4prq>PdW^8u>H+{d2)K^&)bO zG|8ltr~kx>dKyK_0>7Y*o+gh!=MldwnJ2z2gYAp_k%_nKq)`Au50A}=Z2FV|o!O=# z;{NbQLGq8M+hp&^x2QWIYV0CDl;_lF3y3yjQh5r`sSn9hYKt;&wjhrt77nYHM`4@B zU?;_3Y7C9R7(Xw+GruoS<=v$WAjhhTaU4<1i(roI z#sd+0Q`>vK06^}PGl2bS8TTcD_>wX@YpYMm16A$@)DM%MnN1a`8fbg3N(A6I#lLnI z;K!uNABXur-aoTh_%!~R;9J}N_s%xSZsR%0VUUo6rrY7`-#Y1_IR*9=2Th2V6%S2_ zm!HR2e$U^USB%_%V3l1v}K44 zOK=Y+6;`i0xtFp(`>`vNDbAXYa%`j-UMIUYPOVG?ow72C!KIl|r>smA??%|t|3b(X z#kZ(?m6gdK@CY%+(;z{EIOgO^L_mZA{b;iCp(g2vDp6n8hVCnJpfxv?yC6p;a{#JE zxMn4I-bWwE|rkS8(cLd@N!8zxm-H$mgxCh z-kR$kZ^`*RO(q@kcw=Lo3ksjW<89<7^3g>Hzj@=fc2+bPybg$nuFq~u|#bvO?<`L}B1T{w?kN$H0v z2XG3nq^u){!AA8(FvXyxEQ2YlaEcM7;5A^J{53fTd+|+1?mmVicOONOyPpyE1fQX= zIxBMbGgV;^9ekz=AJvyl;j@=b)3$cWUq=^Qy=3u~W0BZ=be$LdiX+qClpjbKo!S0m zzCUSreAVsSTT5H-Si9nm?QP9l@7TO>XP-MdxUC0XU6H|UIFj#~EVz^$s-M_?gz$qy z$MMGQI4~Y_T~1%AoYN~l(ZS^thD^>WIDn3(%8RYel65(dLU*1O^rm>J)IesF+ zB4-e-p7~n7Gr&~Z!|f@bR;5!@YD1!ZFxI`c%d1Z>9oi1&a>A`{kBYNMqQxk&cV_2S znr)d5lf|SKtfIwfu$y^bd2T8=uy|YlX3Q|t1Epp;e8ahQU%V9fMMXbrC^V}anl&p_ zrg-}}_-n;M@WY9U#W9#T{BzH19@68XvrqTzvHVaGD6D9ct>^H?a}IkFhpU7~^pF+` z7VF7AUG3RJTYfkxaEeZC&7R}jO!G{L+uYz)HHmN42;P#*lk+Z_m6_AxLp!Vtr}pLh z;&T?*a)a4HErT`@8O^}LWgVTN?wlVkDM^avn%V~=U8_6Yb4OzXMVGm}ti#7il77~x89)FaaI%WD$Y(0ZW2k#ovXkR7(SCFPmujH z?C#*f`o8P6$nI`SKdm6U%b6?BXLq-W>8Gm=S!aO2Pj)_rDv&2Q{bgDa4+8bX_fXtA zlGdn!8}@9-?uyyD%UFKm4f2Bztq#}M>QS4&rh@M$*v%#eJgnBJaoDGcm=Ano0`GGS zK&e?oc0>HPs(w0Vf8Em#yigGcKJWu{hWh2yXKhbUiO7rq<;J$a5761^RP)Q#)Mshi z(~TmL%znD_FG>V{a#3pGC9{G(r3j}|QHaq(V~=-dqCIJwDLK0kDLM>-(E=`kI9SE& zC;rWtw$0i$5XewH#+LOJ+T- z)oQ{$OLHc7sIt5>PzoAtrhygBR!g`5B_j{Vz&a=yUYQ36#RPs=_MHRoK^$YI3@@no)zlK-5N)D&A}` zu&2MO)v4j6uh){hy)HQIYiYd|`_9G5yI|jWi6)|h*e7et2>2c$5l{SpRV48{{N9DreR@o@L<)v zhiW@mr6&8ee$-9jdzx-qw^$lY>(eajo(+QvsDj z=#cbNO?zxlYNiW%gNK|kP&p(YkbbJ#v`1rmvZ^^t&Q&wEI%e*=r>RCNkprB!IJR@d z(~|Z>uuig^+8!^5Tjm!Xlo&}B+_TnqIKx9b&_Hn9Mw-H^&)=eA+8_8eR zV*;Xxg?n+t!fQ~(Lh#@*vzI+Ubl0Xr79K?jw(go7pBu4#)x(ib4_Uaby{)6H0<6s} zm<1gfECnS)sOSe;y;U;UIf{JvvJbAk?jL*5YMoTks;<5Juj}tWuzz1SiGmGjSy;Pe zuy(6(?TYn?g?n+tLMY@YV&OH%1P3Em4}~nmXz(aXk?R+pidk4}X+}!7F=pW^L$DN} zbb^Jq*jTE)wY8ljIh#?k@M;h!g^WM!zi$8DE?6@XZ6;jt-v_S!;4(zx4OK4Ly>I^k zC{yJ#?~t3xJEzcU#b6>ljA#e;!@@o<9ASs)YoP$aK?Q~9=~GWDX!USa*hdEsSK-4? zdeVg49GG?C;Lx^SufPAop?Mcp95;#n=5Vm+7me^eVg;`r%pTplJfB{A_1Mr=D~io4 zuNW#XZF9TI3(Ng0OJ+~|LfE3YGarEK$S*^*TCStj!xaX@)el|!=>5~MYK1{y^}{ND zaNgKLlSuVCt>iSL=$r_tssL2NkEwKevuL&pw1lWbNEF@tzvgNvok@b}jA{oU0e}L4 zkZK1Us1Dek&BUTQQa$w$LaHeQRaF&HCt88^aBQF63)RtK>KfFxdEB^-5!oSTJzRyE zsN&%&%rl8u!=DDTI`quMMovKCG+FlgaNeVDP6tX+2V6VR6wOCVJwe#APANXrvKF{` zeaU5qnAIql4TA29U@_ffkLE=qf?blyB$^DIGv6QgclV8^#xQ10LD>=EyUr)RRL88x zD*D0E&|q6=a4^(HA?B1{R(u3kD#gVt$Ue~Ljf438UO_N#C`uL+nQRKyEos*L#7 zF8IH?1$f4368I~9*$1lL`2E%TFVLn3>mn%sz>Fiis`Kp9HcxN7sXbbVVa3?smaaf| zF)XNcI;|_wkqG9zf+5<~)T?1+3YnhS!^Kv2G458YAkYOA&4il!BIN}wPGhj(iFL*; zkEDi+ZXGX)c8Aj-NW5tGOV*G>k2r({BdaQ;f*H{$s5m3f3Y?ZPN_u;;GwjaC-CC6+ zhJB(VG0eHDWx>hz{T`T+%_?q&8*!P#Bs^do(s+K~4X;#p$@ zo~00;?c~@Ig~CrW@$bkz0H`$TKU2IlXmdsF%m}0ZGx^+4#vQI*$kzf@E-g-WD1Tbgob1f_;3L&To{Xmft~#zYy$=jqEwTzTG$;Ay?#S0 ztzlV76iu8;qfvW`0}+GCTN=)lT8%<}IAb;Vl1L%~Q2GTZ5mP5Xz>5lseW}6`W?D1= z!y((%EE0Jr?9-4eZ8IFI;%C#4ELD$M-WX9_AW1F#KE)bQj0=%e0ea#&6yg?~zy$CM z^(M){OmJxWSLrw{IOA}8Y!qvT7&Z+1ED!q(d4=}X*;EqX0AV6R-~c-R;g`>UQ?rrG7))^i6k;oO%^G!SY z(M;xjy_@=b*H?VzNIB@q1`N*jHGMrR%Z|a0frbAo-O-jSb(q3VQ4#}%fHmfobgq1? zw{$Qzkat;Em;5ed$q}=NFLO6e~%S9t$zdwQ%#r-pdyb;N| z+U~8w?2+vK)d*Ay_s^8^v&b=L?unkois$nVUcSs#Ot?6>;?miI8DFX=VI+N{O`Qu1 z)2Ja;RBo7G%Tl=c%PkN87SFNgUMYQOr&MuZ*3XQ zm?U4);f%ZW650nXlb4g5X*a1+AsUp=!IT`iA6!S_aEcqH z5a7(nncq-5=n`SBV=&{Hr|^+^qjIL+s6{p+5sYdvZ2&+)rIXXh1ExptL~J+#nm4&j z7AH$-sRJ}`cACvjj?!v%It`^o)MPp>tEM!(iAB4|XMRIp1Zxn5ttP@c!7IhW(WOzv zz*X={6RXbrI~`C}@JX3Yh*35ZH_Ky_0Cy7%!GiBRWM<%hz6CuD_zv1af$4*AQC ze%#-?xo>1syA64B4{hvp++y%IIecjsFTuGvn1b{7Y=7UTzBwB^Y(97$+SK8^O@dkc zDHkt#o7};aizBs+hSG2nqcWqDplVLi{a5eS!AN3gOGodv!DwuFTX*w7jJGuPHRXEa zk}X}C5G&gnGiY-jqQ!?WMe09Y}>Y-Z0zLbyZ=SiRP|I(^)o%^w5I!<^HAPu z855=lYf$nI1Jp3pCejR+oYXE0x8yo}FE~OutRPPuT_U7=DhwtuY zY}b9&)DE-(Ba>9VSzW zHLmS8W109qj0>UwTPV?p$+1Z(=mW(E1)2!T`;%{=vXH%nWT7CHue_yNltAO7mnOBr zdm|=A8jL%oBWu+f^&@TnnhYnwd!GBYVU|w;zJuor|9GAhdW^=FqWEXnHW7x9Zn>tz zy`CF%=QfZwAm3c~e6YLrvCdLNv*_2~P=`@Nc%BG9$2_3|I}&XU5<6wQ_fW&fFsO`} zFl`!p@GmqU4Pc+^DgQwE5@wR+5&IoHOdG}KOHDIpdl?-HjeBU!qpe=Rr{q*hau9xtRCb?al z{HK`Yw;k#97p~P2{`Kc-!?QT#RE)(aaXVaRaZ59vaJ<;4C4Yx-=vP{MtjyeSaOIV; z$MP~!nero)#~H74W-ARU0q75ZA*E8bk7OR}H#R8h`d)43Zb2)JH1a@RXf7sT+uR#8 zt!*VjyA*1TI4_dqWi4n{D;q6nEZe_`H?t!hf>Pv3EJk$y+kFbeb(lR9gCEd31b7Di zhHM!c5dHMS?j{_ubAh$(Ab9f#QQ1={evF8XXFY>L)mykASDWIH*Y_9gzlNdaa z07b3+Cr+v$aKPS%yaJ>}>Mzp+fALXvhd?=&)Y?N>xdV#Z?T@#!aCo1Ox+BQ^I?ex( zxBHni{@J5kOJWatrSkG+{^H}X|6Y3g33E4+ob;vO`5lw^U3#d$X+E?6Uh>ZmvHKg@ zen3mHd;PQr36$mgo)n3Pffso^)Y*H4>qS>g;WRWzu?|3R<~Zv_W~v^luJPoS^m%`@ zdgCybZAInN`6#JI?HB}*PrMknw~Ix1Mef88GoAcJjgfzf);<`dk;dy~fmV~Xf$}SB zgIB%7GdQlH0U_>Dyz%-;*rs?F4jvHR-$k35Q{oz7)`T%Vp4qNEMQ+1(0|Va@T5eK3 z!P_N|2DC`>D1{SC#dIYmv3RgsENNBT#6S(k7qNnn$6f@rPP6I` z>$t&R+H}--NW7^bIBa(oFyk-#4Sb%)k&&l)5|HS&D0(Z|OO=rez%~5?Bmm;VDbO%w zcpuI2=T*+>mA~?Kq?!O!rmI%X1vqbv;Amr2)LBw!tVa^fk%{t=|xFoz7#~dQm zLB|4ZH*p9ZenV2f-rXi~2R)LhU8qTXD$p6kU$2G;lUU$(%zr9X^y_ z4C{BScQ>77q`=imguFqmZdBF9l>U`-<&w%nWjzA7wT^nllc^!$lKtrX=I4CJL?oev z0VQBEo_&}^EH*(4?;#`vlq*rZ z3=0gAiFGRrMJSuVxlHtbr&6PK{F*dXEgzAC$(%E_%6^mfTfmz1n6!TjHT3v*5M0UY z+evEvoMHkPd7S>cJKx-${wt3oB-3Q$$vY94)QxO0C7$a+9QPG8YfcMmtQBUADB3nR ze+jS7>D%}p1x2nS`Bwi$CvTj$S_U^XX!4Lgb^=ZxrcpR=@0|&ck6gTVuab&El_ylM zhD12ggF6>x;j=2Qwc6v*f`=aN4q}nWAQtG(an62ft!;On2hZz)j=f%5$Iu#}%KvCQ zx8#aoX_?+&BRhP_uiu=`uH8#*Vz_VhYy}i_yEz7-x|Nz5#6ldn1tfk>VTDRfc=)cO6z>l~kHwQ}IpNv6yz9Z}72}L+!XT zpGX$_FSsMR41F93>UiYFAoF{=34gJ5=#fU5n$_8wGp#eWlHAci*YiSPI{p3#2V{T( zw9(BS%&gT^cSVO)E6v>%=tbaTbFE`(r&5*c`U=fc(Y9mW?BQN@29K)Xo8p?Asw=?y z`DwHG@#BKwe)R=HYBu*)J{CCpltK=XT5Y|Rn3a3!K`Y5I8pCIgpEpp1wE&*&@ZoMh zJc)?XHSyFe_bC&n43V3vF@JjnwZlx#5zt%s?6S??&=g31`n_yZz z^6kwLT$eD)@p_~dqVDc@aV}7$K=trrJMhLNj@**Txx+wDX+9K5VI2j@Z0iTuMQvo- zY+WD{0SNYH2x24>JWV;&cW;kP_I{MQtU7XN*({C9R$5%880Xi0n5V%s%Ds-7cC7x4 zwDz#s{**WTr*aoVsefwL&iN7x-c&jsa%t*c?rQdP@?>lv65M`bo$5HtUK2xZ-AXM( z$e>IDM6Xo_N~eL|K~!%b$X31@r3*=wV61rS(AQa*Nmt*EXNG85p&XS;30xTuus|Lc6gk*eZW2Om1FSyj%2~qne4Q$yd@6tNmP>&P}Z5-f(nbGyphWamfu(%aAqPu?$v+BL!+XNA+BB z5p%8R(NzkZkX3n}_bvY9W+ZC)NWRy<2>YE8eO8Uoj1k17iU~Lbal*K@w~}T_3%15R z^sRXA&>-M_Mi_IeA4uSfbCUYFFP$AdCNZaYIY*xxlNOr z^BJ;NzD>Auq}Hvoq7YyUkN&5ZtOh+N`+%A^($2Ca*Ca&lMR$Fkio{aaHowI#0un+d zEpWzuW*3=CW}`6`PBv8`Nh%K2fs`JMv%jbVlj>4hVqZq|H)TnwuBo( zo^}oE9~F!SnME_Gb{!SfjP(%ju4O_YP$xglv@z0_e3y56eLHFmi!!eHs)+oau!8O= z+_9~4D;}Gd9>*sg{%6tmz;;G&i<;i+H~qSqPU`d4Ox%iQeGb=c=3t@+dEAxf1VRG& znmUP$Na8^VgyK9Ho@8%vL5WbQQ5N*9yp%E(D52$DxH3=j*^|Xt9>8?{j3q}IBpC&3 zfAVJgIhTx5Uh@6yf%U%D)%bP$`MTpd`-cBy^Z72fq<_A(b8`ACXecPqN5)km=lCr9 z9DJ;CCbX|BBVn*Hv)|0_sAOtM%qjD-@VvA3FS!u|#)a3{H_liFA+15t?(c4;F9vPX zFSsI_v(U{5Qo25|QLjX;JjEl5uHEU_%n$PW$=@%!fw{zwNsLFo#jMC8m&!f}+UBC~ z!N4_Sdw}n6;Lji)U&rd!TlOwT4JU;l6_g_$QZ*aw3w z(s?Yy?*C9$V4l84%WM95$|`?Kvq~c7jM5AuC+_4DWdOD*)+ut1)P=vp_40ML*S6Mg z$j#b&a&9l)e0cb++Fff1@0F3!#%0tN-V>}sP9$TFrMl_+apF}%%$V~#ntJ9_x{`DT z`?Trul8!R1?T7FYvvjs%#y_i)GS5zmpossQ-k$pvg;hT(oyeE*WhEJrWPvPlhvcm| zRVi#gaj;eavnS{Y+LnoO%pg;Knnrr?Tc*$;0qP)Gfd(z|6?@67Lf_2ZPg*`PH|sO< zPFycY-AFRy)2lG>H_UwSF22`XPh+t`Saz4uFZQ-+DPx&7dKg0Ah7dmy>gPMD7U8yC z>}#{(*v5Q9mZ^s<3c<(v1bUGZH=B39tJhcjmB(kVjeEf)>xOh|I`S|!6<9w^O2=AV zpIQ&Gu6Kd=WuLBziU~@{TY8OJN0WNlZ|~pU9JQF} zd*viD71j|Hd$qgdgk)kcZu|bla~<2dzMX!6Ulx+H-v^xYC~i)SHVrJW^8&T;7FQY6 z)EQdko6EPY$sZ4@kFl);vpEj3LuEs@pe@?Wp{(a0Ns+&eSV|N}8KVfYb@4>w1y1@9 zl+*0HWDwmQD-Tf3S!2hbsS)Wfs5oo1y9|f+!PiyYf&f+Zjgt+{+=3}VXW8p%(#o7>(%+NnR>qH22#_ ziFTn{FLv_oxIa5#l`ysR4;VDCuqhMp<7i$m{z793gZgtqiKw`~$~b)=?`!Wc~(g&@P=Sx2}FrOAk znM=2M)QoP+Or47}_6-s)m>gyPiyafzoYn5rER|uaAbq|+^gZqrO1m6rM6vISb*mrf zvUqn;5?y{=QX*|4u(Vlbn~wYH!j74z5A*Hugq~OO6CZ=S-h0+$I%cBs?7l|3Tb!_V z`CQFvXd)_eWyRuF43++Bg~JpghFlIEJ`1y$SclG(;0 z8Eb4s^nz{4riHTv9W!gCmd;)~JvD9n!hUS5(w?F*VT$1p0-aQrC&@cVp)c}qf^w5O zQ80p`R(atYBm15D%4#!To%6jxn@W0S-UVivhu1hlCc;8co`a^M0Y+sy9{1ohkDWg? zDIp<8L_mTil>U$-*nmT2n9d9%vpHjZUO6c;?e$jXFdI4d703HKFQuoZyQcV1TA?~M zc|II}Z0c$%PtbXnA>3rZQTRer;$70^`d^sR$W`U?gDbX-dOCtx)lPejh2{O5u>92) zGY7zXqfpr*%gW8y?>aHAVR8#X#RajJ3TVkH^#d8yjc&ZvwKO+}Du zL`~;0GUgKE9BOTCe4i#dPFr#EGeU`FOlg}acZFm0S`p=HByGDq?|t&jaeE#6dw)B* zm@CBE+)6LfOhtvGrFU(eeLh`l^-Q1tklEtO5Pqn-IptW{#Q1i6JS=we#`}7Q(^80K zbX0oz%x%fHCM-FK=rRPG?;kz-Asxj=qG!STz-|KvO|mQ#Q5Ya@*y@ z!C1atXOZv0_}b<1>lu*R*}&jq(h~haK%AE|%r{l;MY-H`dEj)W;8dFzp}nF{zSf3) zp?zCgWnawo9%vlUF_NXl}^J?&S}*m?oY9lo*ZQRZ>~;&nv`6My++ZJ?L>hr zq4m@(_h|kV`fnojXM3Owqa=z1{q8nb!I7+SXp(AK8S#aV)3_Rg!hLxQ{^476?V9W_fZ zJG$x-Rd{EAqB`$))L-S&za>sqLsz7`V^Ak9Qy=r$r~$MClb?B zYZXazoUc3rsuXtFF81NyeXi0E>bv<`F^Hdz^^DpyM@S|l%~ zJ=x;a9j%yRp(MiD+E|}ot|gzx=&dw*EW)!NSsfgBnmM;4r6H}XZ`ms0Dr>cRzV?@L zWBPUzAnOM}FRtTxQf7Zk=E>aUm$A9kp9;YA{i;vkoI~ zEooOPQn6_oKkSU^G^?apSnHH?gXdo=*U4(HXm?49vWbpLL&sVjPy?lnstEUR&2-4M zR8TF46W5)!S~9c4#h^SoS<<#qcQqq#wk?|&r81B{E=c0;`|;^xqLv+o-Y)Y`wYG=r z=-&j7p)2I*m=AMyMef#xv*(J)9zG$*@A#lBSo zvCfc1&Twc{&+0Z5*22!CQjq~;&n-`GP)=VbkJd1~%Di7)-%P1I9KQJMfP}c29RAHw zrI+e-GTXZd<8nWKv^<7px+Ujcp9RFkkZb zj>72d(qEOGakNEsX>ld;{%h%9rw0B^O*Eb6jMT*v?!LEMe-2YmkKcF@`sZt3v#zlD z#k38Eb__I6ta^&JVurznUe5Fp!U}^y2N!#b)xmh&RCDB+tTo5r3}}hU%p~V)$VYcuaE;8 zeoH)$N-XQD2Rb^hkl^oMAo}00pV@dvKIPnPXVGc}~x&?}>#OeDW+$$Gj~(cI0nG`C3#wOMNUNTM?16CJF6E8Wd~ z6mBVqt`J9c6H9l~Nb8Pt-idsiq90Yi>`XI4Z-=yy8fy}d6{SfRQry~W#c0#eGNRC? zyvIC~AN>M|k2xe>DLH%lNl}OYCT|u_%o8Q67D)uXAFo|-NG2y`FwxP}MinjZ{=#HLFKEawb~F}NOX&90x}9N2NnBw}nKvmJ8JABJZ2vbE zl~b4wV5lHcUejupPqkLlye4gE{fDB};<=SLPd>9!{7W8Lt0I1sWvM};umo_ya%XfE z?iRne5;6Y=Jwd#Z%pq$2;aQH7A@zt>gRHhnd(E|;?S%6??{)BZ#}R^-HjNHJatXUx z9N)V7x_c{s&8H&d#;spz^}VTv`pQYWeuKV^uanw!1!MKJmamG3_w&@2#R^=_tI3S& zjDmNTkHeYoRV}q|R5v+u6PH{kyfgwy@!GB$k~2T zNTLu94gQjVi+xl{i}$5>w>As|fgal$&l+1>>}V_kj&)!~I2Y4w%VR~b<4ecC##Xb= z$hpY*pj@swOWuKC^W!u%m42tge2)EDH_PR!Qb)ticj3uw!{^EQomwJy;DGDow{4T< zLu7qTz0b(hfuJkB^VSv5IS+u|VdG#U3oz3!XW(7}J~E9d>!d+GDc zWe={i>v#QU{Eff$H}$9tr-94;=~Ql(!q$hftT9Sb`XCvFf2Few_2D5sWhwds?_Uo* zL&V?5y<<8lNEg-L&N_^Tdm97-Wr8m+#K(WGW@k+Prx5e&M$!hW$=!EC@-P_%bEMS& zpdB)jZEp~EeSY9`Zr*;#eoa=h?sU5J<#_y#`gn0%-@6LmdDFc;5y^XhCS8+oCwwJO z=s;72`9C%Je=z?cj^z=_{N(rO732vvM9`8MJpYQ)CE4m(zrKv0gRA%5u#g{aMX_a*<(b!-McWKaEv=;3>d7Eo~2B^lFU{uUV z9le01h%d7SYK3ylTa?vhHnEgXvYbCymrpXE$NW(}&WMT8`5)BCiI)adbul{)Rypi= zDKq$_Om*^_kSM!BykF(NI1m}qp!%~hN(skGV zC&2!(j)T=#qmv6B6y0#xIu#rm(bSLhw-SRa#M_xnay@}tPDSp>H*E)cKxz-O>QTiS zMki&6MC(5sixLJ;GH9cu+dp)|e#*}yZ&Af6$$@=%&Y5GzrHml;^JuWLA3C%TH1iCL zjy~bdMM#;rY%_{J0qoIEK1ac+cqn~ zxY(h+-%6*#xF$kMcXpcWhyj(Ab6Pn24e zQ1iMSv!rKBVeZAXdS!A2XvOzP0luVE9p&;r6VvKN|9iTq^d-Bb9m>dqV)Uh|3L-wa z(8&*#ycwEKgrK}~5b%1fN+v93vy>@vzCN;0EytL_Sj=nj)g2Y;>4@Cx3mHBCDvPiL z`a7JWGBW&Vgn)H47A}j9k_YD_&OY=(;t5JM#q4s}ZE(|ZfeZb6^QbJIShhsGXt*+BBzvWGF z_x;LPOS|Uqr$LfaM?qu`b&--f!xd(_{5iUQg%*;?of@eMxRWB_a5Pe;vsC%6jV9Sc zi4dnqU-Z}<6R@7&F{*j3cDW$uMuZciY&XP5`NWsHtiF=A={C7=E zD%vz?Z!J}4!mE$r$R6d0VmzSfUP>Q1r_?vOQeqs@m{GpmKFPTu$s7%{S#i-mu|RDXl}D<~9PSuKLL;zNmY|ti z`}mU3Xj@sleH$V%=jGH!om@8Mn$2J%YD-g;Xy>~$ZT4kKn!(ZNC}{OI0N6nJ)!CH~Fd+v7H?JfY#DY8^37TYSU2 zAXAtY0Z{E3s8@K4HJfEl)(I+X9x~4gEe?Rsvl8Rd@^q3Rk8AGJe>#MCTp4Z1sb}l? zSNf=0?56kErFlD5$JiyKz`9&5j4tLH{RKKVWVEQ9DS6__?BJ+|#UYJs4Q~(x9g*7k%s5o_-TrHje>-v ztWq4R|1sZqWgl5rJK7jm5u4+z2a9>g1V>YyL^XaN?V$#KIQQQwM#tAtS<5NQiSRAhfU2D3+p+e4q?9jc|ljSlIvN@P2L6Y z-P`g|(?VC5ETr{Co%YiLy}B*xAF=m(3#?`iTf2c&jTQ6G-&7=}d#uG^A#hX%% z`CR&laVWLKRr8rt);tkcBCGvv+FEB34dynA7ZTrk^ZKxFfcdY8FVhbM?Q7_ZHDW94 zf*8q};u&?zML8SO3nahif-NBY9T7W>=Bok@SHm7l8Q!IU7+vZ$8UX3JHE5o4?B@v!LFgFLkV_^^T5)zddA{aSAUx$)b?eYm2IHZ`t#wB z*k8`z8ZSz33K>3?x~9xp;;!SHP_7y!Z>p1Z8LJ~3=cH|dU28`5li1Qe7vrKO^d$J} za{vvB(UAQF^FPz+qW+V-Q_MD%+~Do#ruYSkPqSkv7P3#mGolo$FEysfmPiIt7eqg) zL5;=WF=>C|`OsrOPTJNDyr)F4Naf1S{hOU|+KLLb=B9nY4m$?{9MtD@z(UW!Sy{}D zbKFagib(Cu8TRIp3(OWkZ+k3%_bIOJGHB~!wQzY7E@Ty^BGl-33-IKWt>7V@Dm3&|suh~6s zs8syG8D`Ldyiu&1;;H*uPHsS>6ckrZkc;u)nIji|kj^FzS2l3R&JpSH95dc@{?rkI zDqwxH2Dv9X#t4<7^Azb|l@It~-y;^L2mqbtlg4xe69*eOmXNDG4 zzbhFJ3iLrxDyT@U8QfpCfRX*c3mUWoO{*&$V}zI<(i;M=d&NOGe)uO+%47EES6c2E zbSWV{=rqw45z)(s{v^a4MpwtyHnkC+Blt?lj5#6{zPspB&2MCgIM-$l zNE*sRQd%b=HOI6W-s6_nVGp%6@m-7JpCCDuSAgEK70_KvbZk1Nv!7+LBVI$T^6G95 zSiN39nJ$%Nc8Vwua(6NQ}rWs-Uye&*{JD>YA*>AzZt`$%P zYL}E~BjhDKeqAXY=BV9^^k<>jn}%ovYDA$=#p}vQ6e<@Zv_UW_az7Mqgq!4%t7h)w z`NqhyT`eZa1qqlWVZw%h0jWtcyWt%B9o@oFnSXlq+!;uiu}MxV5wwzbDj!6u1doZ9 z#56Kxa{UqXKzd!dj(`797Rdf^u$4NGH*X!e@aO`hYyGRD7At1&w5dyzO}xu>X0eF2 zCiB2fWb_4-wOx}i1WD3cyo^wVgEgH=>y4Eifir_Zs+ThGb1LbF zVvC3frAVC6D>`gR0=bpz&+p}e*L3A)kqY7z;*i$=3EO4p*(;^ov~q&NdTyqM4odJV zN|-d^ue5Be4`q!ZDRi(@59BzP6(jNy zkPso;etqqKYd6;8?3QzvuM0SA6iR1<4!phVYjlt!PhIOYh(M+Fv^F3mEo|1`bvhKA zn>0JBgK=d=!rkh^AJzip=|%EN{AbsB7VXY3-@@MBy&>0`f8(}a)jzpDm?S^3U-ADa z&!>$JhuW1)cV*KBv*`O5l6OTo_i8atKiqkBuUiHQs^TVs=JOZ2b4vSs^d zw^%95#;ST(z(SGtoQ$9yg;h&UWsZHnC&q^je$&$v605e455&6?d*Aq1-@k&3D$py6 zzIg|HA|akPpE>@%NK$VrO0Jqxz01&ijN?hD%s+3lLO!Bt0CySAHyk2J3C^0B_$`cx zEsPh`)nR+skLN9n*}1&c>cH=u7Kp*M=q-YX|9iD^WsTS)Pj-nBEktfnMEvjTh0r4l zsGj<(8hAi=)X?7i*vDxe^%YJhEN|*z?2EPwE$VM87lvJ{uf~ReKyK5?jINzeaA1=L zL(lqCWMpyI`E{k816$(LxuCAy`=M!*1{Dt09VQISx_)3@^TQU@q?9a1QlMz+0G*k1 zKo1(Ki7|%}Fu@23%UG4^lkwYiZ}DdM;3L%EQz>)Odp;NVd*9;wyuu}igeXDNpsJvw zRoPUFXidNI=@0efGR^Jz^ z59mA1Q?`+V$&NW<17Ra;c4Ak!jA`Dk^Qww2wNIu_fA?r}!_Kky>Wy>OYD=4vA3A3r zqSMO#MQhiG_cc;a_wEe%_kM$Z z6MwsX;eFG6BYzhb27VKK>{Gg|p$NVwK|ocYrnw3YUBgeSmEbdI3PT za)ujF06jxqAOJ_5TkzGRp%3ipHaGy$aKfqXlVY_S1mF;G#n}7wZ1|pcDJ%~P7&FX* z1fU!0zyf|aQz19#4Ljg%Df`?xSGQpR;eAgshVMkH0uX?ifGexIPmccp1i?32`kwgw zc?l5#XNEdJ05d}!cz}8xK7RayNW_#Bk(HN@SSb78w^kzc-0elEoJ^K7N zLXtqE++6n`Vd&p1Lcb4dOcT zv~b|MEm(j@(3R4ioS)%4%4#AA#nbB!W9tCE!5DbOT*nXnpSEEQ z-)jwhFl-tB-B6*tG)C!u>4ir6E6jlbDtp~QSL1@O&{q90Y;S-zeEOa)T-IE&bBaC@KZ z|9fL;?-QiqyGxyK_|!oij`OW8;l2;4#+ZGeL3{7qlpXlSMXx*Ksvoc|A;|wj6a?M) z2HJ>UQ|Zj)pDL|mygRyVdDVXE_zn5aA=q0BGDSSA3n{2Ob0c;IWc|~Yjp}^iY~zEk zID4PW>U?2scTOBM%3evM$i5ivz*g61h{4#teuluA~ z6@UfQ23#rhJ=xWLMtn<_tFlo6D_dnwa7>{MabVig_PMkFCliMM+Wt4NtpU(~ZC=Ch zop@pTZ@`st-4*bL&40a$0OUXISoA)@*L?;Yap$r-mfMmn=h4|?uet|aA+5#*UdjK5 zaRaJzsB`UA=ZkLZ4+$V_g!F{>!Oa^ZIK*sV{mt)#h$Ya67+ei%D+u~uFQxjgevH9a z@x4!JhVMU)PA8nyXq~uzCmLwC!b2io-b2P;;$r;%sH>r9q#pz?TUSxRhCZDC4I~D@ z8csfE`^{FRQUlIoMn*>C!oq@ul-N-g!XEq8LYZdl@#TX+XPrbKzr`=& z57?>l5X;6lfZ}Pluiax)5U2pLgq5ax5tI}o{EQ`9& zgO^=C8|&laD5&h-;zc`@m#FF)8W}4hA%BpRskKtxs?1Lw9^|VNmnx<}NS99?72Psp z%9QJ9O5)3|i#FJcp>gJld>2kc3UYA3W2!mw*po1E%2jzS%mHD)NsJyIUStlDkda88 zXKyjtI(tP)l|w~XB^2=ad04}Xr3Wfp75q+s^j#(E8LeD9Bh+b{%V^0kdSOx+T`PEQW3>Cq&APT@xCwZ%s9N_bHB6IwklRqLyw5EF{Ajc@nI5a8DeG+4At#FNsg z{%ng7r%JGJ4OC!-o}+deDEby2%o47N>6^1wCxUnZla%KsO68zbnxDBzOa1=Eb<9ga zWm#V9kQw|`aXaEgfZ+9Ts#Kl2bb6l-@|V(;>p|$WV74nJLu*>Gw9t`SUll-5vfwJe z4w?e)(z?H3S!}+vPvL|EBjxnA5@FYW?|RCnDS*TSLM+e5IlNRQ zuCoKcWQ0RTxad)h8PRk5VS}UM8C5g>vw)xJn4E;KV=nk%@drUB)qMz*MyhWKcCREu}9UK#Dif2I-V zHpo>y(HA$>L=g8hOI>dt7w1upbtBEaE!A5&6tI#QuyUp;rz=1j;yoEooX5EXu{FgN zoxhCQv_B37xX9n>{!P&w`AXwv9F_;}!BOsNoaQ5X zG_46|<%Qf0iG2sgC*&S}Oq2mZWnKv%V)`+smYXeFdCGQ;KuChbD zlO`T@To0Jq_o-)LhCP1edqL&d>p@15{EnKx6slO8X z7&dGUP<7^9g~d_A0L}cS$l4zSx?Pb|BxxM+N{i-OGO10PlE@+AM2baeb-80V%EDiz zrfy^kqiX1t3;B7a`T;16d}7*BC$b9y?J@<5V2H*mefio$6=Saxlm)Z)IYD#O17rO$ zsn(D7EGNWltK6junqp4U(4=T12D9QU5Y7;45pa@;!;?*MW^TwY#LcN{BRQtsGA`9r zs>tOITIIg`b@NY{xg_P0s^nWnq1t^FC3q+#W{HR`iO}Q=v^vUi??qdEh9a?KP^M7L zaj&)e(|iOK*Z#ZD)7>m)R*7b!#2ffBs>Y@c!jfXJYl-aTVX7vHe_-MZ(XV*tbFJA# zj;OD+t(2hWY9e;pJccJ17oOkwdIWP~vK#noJJO2m;SQX6-kQrHeU(Mx^D{wNg4Wm9qQh>M! z4v(-14u~ivN3eQFv4wDzISzc`TyTMI40{2Rn$Q9KG5pCHjHPM>geB?8l=QBaW(4T~ z8_jVcm4>$!+Mi~RWXt(Ef~k4$&~%ql5NhkUF3>+`L$*4@c);$=?l)nJs%KrNzMrdG zJ(>c?CUn@IvzcQoMvSot3+aVKN=UtaP7IWop1(05AAeUVC-bAc%$705+B4#h_wGT7 zfGn%HYN8;Gi9<^!r&0{^#5og1gieFU!p%{!pw*k=rxC%cY#A7d2F}XUlsG}C)58cQ zw$c-Ww_@jjEl?YhgHa!Y3zA0CiJ?%Ce&kBIy2b@MjpvI(G@w&qff+ug-?=pV%aHRR z|1~*JHI76Wa19-MO=}H7NQvL;x!5^I(PW(krr>hLk{|?H5F|qV>`96QL8kuPZH}L> z4dEc7M3p8Zm&yXQ6baQ#0LcQ%Arr$3EyAw4K1J)L1foK*jyg^4)rx=wPGn(Z#6^mV zLyqbLdiqNz!o` zz*bmqmfzn%ErblC%$vK|M5cvZ;o0E+YQ;ir!1JEWz(Qb*RNMumqXChR=B={Q%Z*d` zMcR1E79npU6kJ~ni=t4c4D{BA`tQ^QpsdekCvnj1Ni^x=C0$o=7qu)QIHudUYslD2)4>``VIEOHNA;S-DPK^d|^`v(PwFaUdBOwc0$V9MvJ^ICoGd1(_Ns z0B?|o=}(%Wd<1+_9z;%s?w5^+1osb)`%oPHaB2sNP^`wPmc@wr54ATSCC9Pw!r ztV|-@+$~z8CtdCXH4+g%6A%Qn`RH6Y#vdaH@%e?NQ=Zzl2ZeWC*(lHvO%2s^9`*Jj zv0KPuQA1{c^H%|dPy(o8`kl;?NCDaIsO#|H^8y<7D6-M#FEM4Pzs!c|1zdR`F!+C| za*&rC=h09AumqNerS!~t41JmrN08#65Mg#PB}{0N&xn>#AjnW)WGL|~^w^p7>XpU7 z`4Dvqe?Q#w_Mj& z^9jP@XQQIkiFC%Kc&S+(KKPEcG1)Pj*^j~~0>(AO8D)WOg(}E5c;%E2MqYk6>Es|$ zl3oN%DB++r!6;z`6%{v^*~^Hn5o6?k292EA7z>I!zXZxF0%}aJcxChtKZWIjXW=Es zl9N!(6HSYgvx|z07l?UCbJK`NLmGs%=?a}Jv-`65@Fb9f5tvV<|DdqJ$>;)WAdzW9 zt1F(8Lx-VzH(7odypE(F98Fm7zp{ z`yw5i`C4{k(q1KDK1kIJ*smZD-jlhlNIM{+3`M(wr7P-N^caJZKpbb*H#LmUexg}j(R5sc)4#6>l+Ei&Rl3OFO2dN?|!%L=# z8Y`hIVoe&J3&EDq;7AG=hLYriMD{c3NqGm+RAE*G4r*2e&bmm|NC%YnGU$?7AKTC* zNIw3C05?{AKf<^8X0d%mrgIFaD^F^QrEonbONx-E{Do}}S3#ndFnC71M!dH7xc69u zTW!92y9R~Z$1z3CPBtHvnRy|TZkjFyFS7clGX#Xy%91tSAad?t$z<$4#Ifqikykbj za->#^^BQaFksQP8MXQP@dwyAJ;7t)B8CyW+?$(oho}PULo#N73c?G zE2xmab3|4_qG})pWnH0lq%gl=tH&?fSA{Q$>V8Zb2lw{eZ9D!sG9NrYHwgc@siJnl zao_@T%tlM_>!XD*IdT0B;sx?Wxn+ujdLu^(;F2OQlnztmFA`3Qh|EB_C1NQSrAWYn zQ>4UIJshjn0$hMEQX~CsuHO~J>jB!qP5C$sbiJNJZ;@Q5@ZwRmZ4jv zXbj^(6Yxv5sI|Bo)j19qq_-VJv{#riA0=p!7ez7agBwQaS z0~-&Ah_^jJ()l_*mzM%;hINmNVsJ3iF*^~ZP>##q$Vq9{2EqS~l{Q3114eSxl> zC@OtHdD-XF)t#=MC}hfk+NyJ#fvIeIxr4EzEZ@k+81fuJ|6!c?FcF6?u8oe(1kj&m zwNa9C^l#~zbSV6k1vMy@&Q9M}h*2mhb>3xa76M<~>c(2wk)airs>hhA<*x2&w zqAAO3C{YC#lBu1zQH?uuQ&A{HjYTHekbfeY*dRx2*+^jpq^?~?@3rPw6>)yVxq?~A z=B=wvQ@fuV2%39A(s8Z1#mtB|ZBwppUMlUs?hgvNKb^WLVdXgeu>YprnpqEBR4;t` z%eDa)-ehI|HAAUwBAr~zvbPwktV5JNtmDiL&e8%fbIovj&`Wi4*)Xh(3x*Y6RO5Pg zxEoF7GMa5uhygzWn>pHb*Wp|%4TLt2=wpFo)DRz=Pia{s$&kusDgjsg3jk7Xng;(fQuyn2_% z1>`g+6KVr~gMM@clbn9OtdXp?L0tOoBlNS9>Dt!r$!?d^J?bL~Or4igR9I)6Ey8Z6 z>oI8cJnoYj=L0L;=-=jQkw~C-9X?6$i8O--l-jJ}@@qp~A1{F6e{K+}w7FA{_}7_Q z(T&*vPMlZ#9S79v_M$jKU+dF8_76Rd0?ggcC(6zg7s&dLyGUR}4RtJeoX!F1*5ys6 z(XdAWk%KtLxgv__T#5-jM$hH;6plcQ`-2*GoSwkoU(T>B`dwEv11Wy*Je4ifYPQY$ zFN>4|uIs+*J)|Nia7@b;0rlt0lh=o;H_Lu-CQDJ;MW8X&FB*nM5i!YJU2%ncY%vG8 z*nwSMc(QbF<;1!%<{T09X*PiYrsM?~e9>fIv_GEPx8K5FEo|eXJk^X84yz=R$`|<9 zi&=k+?mic4f@0)qO5OFcvaAG_=Vf`*zWI*=;*bOqe#`w36)ZJh-5uBRgH=GcUf%j(WgZ`8%o8cl}hqnlF%)SkXQ zYNpn7H;}1y&@UHv-0UwqJvJoHWgwir&R<~aTFM5Io2+?ethj#PXgYt6%Za)=m^l2B zsb+uWsOd32y$`iVGI+~5##+jao6sQrgRTy`6Jag@F%0dsa@*(1%C+}T?27OQ z$-Cpm^kEXUmPXcs^Y4a%$_V*37xDSC&Re5D2+UgwISMkwvI9C6@UUy7Lrk{;SO-QC z#RU(R*Lb(gtcCHCmuI{+{x=>!wVSsU#&?8`776z4wPUNZ2`_d?+YIiZd3h0M1&j6g zLM0vt1RtGJGT!pOyaE@!XS_7bu1?(xVVkxTqKZp#S2+3urJou4UOi#aJV%^ zrbm}$<@~t8<)HIA;-L#RlN;$Rjl%-hCe5ma?HcdSFNvj#D*YHXgEYU}U(FIm=4wys zg=Far+nQ5&6g_6iQ+zPWPT7~_?$t|Emej^e$1HP;W!kO3biseA)1P@;!&XR#+gVp5 z@bOwVk$B~qm__x)u|0vk?+Y`8w`_rTKIYeX3FT8b9?SD zf)!JG9w<{qFU3bHSa5?WLy(zqGt9Qv8;-Z zFx@34C#vj;Q@dsAxEdwApYLKXK(vmv7OX4*e=J$x$J&IrW`v5lduBhvIarq3an}=p zt!GIx55~W&psthRLpOewHbmQ*_acRjGSkszzG2l{~Tm|jY?6H~@?u?Gp@{XriE22o`Y)M^(& zG~`8DA_<#@QP>EY{dRBlCOi`K608sx8y_1b18cxRJA;Fto`{(VI+)uqP@O1Q8`et7 z817puIOeD(vH6`}Q^*^42WFB;3Oldk1a1mdR*q6_maTH{?|%Hy%x>$5cDy(-C|p32{znO4b9R*ilSXt@^C zt*0&_^D7Hkt&*iT-c^;)=Z=BPj79ai)}IXyn%bxQL{{0oPmi^d*C!1REluq?)~tRY z?vSkmzw(epKxy6Ikv4w5N56-mUO&VrKkO*@6X!U>K5)=1B^$@hGDYVd>#y+e7Ld~P zwFK48S89cQNG6GzR`Miu;3BZe+(SuKn6|HBXI6=K!D+70SF~W+Tl{?bsX}H)^;H2S ziaWQT_TshW7R%e`fwc3@PUMOV!ROmW=r^%Dq7mk8BxIh4+^*}5N_quvD=Ji|uD5Aq zjBx5`XiG-T&qO7`C~QUhge50nrIMk+f;@hK0m;bJ?Ri;K-t6PvzgkI+-7Y(AvxHQq zk#FO}`@LeMby;>$HTr4cu9CsP5yAR$4T}s1okRUP=##^z$rzS89N3Y-_k5Ov!0}C(w90^qiihvj3&A-P45?GLn0OnQX&h z<7##}ewyl0RJ2p+;i%lk&i{3vH`}+;c>rU~Ow;~p%jpHt3U_(1`yxwkQGjH=!pU!a zuk5bxM1FmA;RIt9UAcYaU4U6H+HMT5fS;%1KVR-ry9x=3ok zI+C%SN9E|OO#|UL*oqTjVi*TwA7@rQz!+E;n1&T4K7nR5z10*Ql84NL?$(^AIJ`(WbjS3fpw#6-6ScKXWq4#5Y1dAs;bI~GU3HEKPDL@rqNI3g?l!nv3SJuYzOVvAc|CX=AE)v zPh`$nG@;19f7z?#XWy*wJ6JDDZ_>lVb>o{OjAJ=mLs^T|3RZ#er5MGGnxNG{@#bk1 zF}dguwABgR@io>EAvm%6p_x+QM)vaJTEQA9?64yvWoFCqs@SwAvNRXF{T)a)QnXYTXp(j%{w%3iRHGKhPu_4P{@&)m~f_=Kxn!$!V zX)gK~Y?&j)>bNm^aHU2ZK|X;_ORtgE$qQ%GkH#nbytFVWf-j7GKcwl}J1zF-2;mK_ z9^%0&`vI~P9So=eM`ncy*%|Bc6gW(`4{@pX zJj7XV@9?1P5a%^6e@_}cuSOk^m~PBx8eb^+7`6oRb)1+w<~-`OV_wxI6}8Y;9EN16 z;156_@S&8bWDvNTE$1&7NhWID@=z}oWh!aws)nP+r=#kgu6bdxO*N0~!-*0vrk zlr##g9Gtf*_Pwx|Y8RJ449!mLda`-k-(2;Wm0bg=W4a~_1v?hg4>Ab{vv-d50&2Ho zD7u|#W72n=#gYymPtQ6u&t=-BX-EZ7Rwu=ab^DbfC(dm7vmC%9A11XZker6|e} zUA*tMSn8>h_-_u)&Xq7xZ;1`1#nY601J_n2Cc_8Sr$WIAp+9VLi}f$6C?rMH)|F}D zK2023roT%&U=i%h)dqE(xvNi%cJpE*dn@kIej`YYYIMxcd1;c6Fzh7{kdA$KMV)_Q zNqi0SG>~HhEI(PkRP(yYn$wLDT(_~_L|nW3)~<^u?Rhz&s8u{W+mMdC%ofnj|5}3# zi>ko8?wnv>QRf*kP@aYRj)Z4vY%Q==m{P_U&gSjR>buNcxz*-kb{dvPR{((rFULon zWXncNneme)>&-vjLr&bf+jre%>Be(2W(VKMoV8wxRXnEgi`S(Dol)s1oK|Eo1P;w8 zKejVJEB0tOEe{*O$=79`ZJx4r1bMRcZ3l)-`M=Qs7S`>s@|9etQ0&ey&o?fIvBC z-b!q-=E+_4GUJDHi&PJQxYdf2@$}27V z^W&MK!^6Fuz;j|aXLkxI9@_Gr4QETff={eqT(d53EL+PO${lyA<+A%-l}%r?Tdf=h zG{x;mdc`61?B2@AL>dV>4sNWTUmUq8F#5Pd`^5&^Iu?;stmc~ey`C?rkYQ^TG2Glc zyCKUo*SdTql-b0;B`GOB_Llw~K*JZQoI1Sj=NKHsEF!@X6=*=}vh&Vm|N7>T^O8c+ zUouDOoi8Tc?}Q!E=>gIndvK^tCZbRpayV>9Y7esPyUTr{^}hAmKHDuLc3|W@9EY@^A(a9AFW>hywd|)z zHW@IEW`D5RhBg`4tPEK~zv2w2bj~$Zfv;`W!d&%PJe41vr_7s9@X3>UOn&@K0Iy zvznI6Gq-hcei!X+*WP_SmL)fzdkatG zl*1L~$D3aOt{Kx5Z)vMBua?T%sI29}+BM&sfMT25Iy}3^^Nwe8wf^+b?^vTMrYy3I zzfir-JEofJ8=PN#ZvuazyYy!X<%AF&p=NsAC&<(|3b+0Ap$;}vH(8d_?s*X%U_(C3EBVR z&%*i<82|tR^A~Kkzvdq{9IPJ`$oi2f5MZFl#_{hwaIk*V@XrYQN4*>$*mHaU0$}_{ z{BZgg5FnKQBLaH?jDUYHcHryZ`T0omA7xIa56Cz<{?lXzX28M80(1_XFrYDzSN}KH zU;PiT{+6gi_}@h3{2!uzkdyJ>KcMDc=|AaxB*FMm<3~jwWq%a;e-rz!&IeTg@yz&9 z!N*PTANqd~|AX@XA^Qi-|B1l#ksOfL{~`1Tl|M)f>;)3|uRf5oA7uRxOaF}m1QIBH z{Qnoc4?iCf|6%JtufU3c#Qb37-!_n9ALIjiW&NPre|QEQ17Zxc`2o<-!=;n zhYzIwpBwmx_QTsuBm z|6e=>BG3Lm-21R#16s4Peay_q0tm$OUw!~b08IbeFL31nE^I6x`apYDz(;IWHXt+D zffsNt*jNF;p8vN$mJbdBfcyZi)E}>`AGaYB8_@EferA@Bd{{VunE@pZCcr;hfPb`s z+yb%$09=UxEI`{2Kfs9WEI=jz7wiuo08Zeo{NKni0p0z5L*U_I5VNp$HgRMSvo>%x z5iv2cGd5w6HnBBxHvdS26Yy_?iI52Z05Ed!@xjCV=MBa^<1`amJ8|ymA^W-m0Q&oL zZwDLz4i1ixv7ETMYlu9I5=tqMCJe^}qNKUNVx5*mW^^Py>BpJcn1OareQhOOQ*8^o zmTg(meKI;bjm?z)+k{6pHbzQ%)5`n8`~Bkt=!Ex#$2jMN*SOQT*ZMUv0SLq=&6ij~ zVGD(?YqrA2+WK~MkWk2ykppO*kK^H)UAlFk52Vp$G84<*#QfObDtc7mW$JLX_%}Lx zp&3tHKY3`(ym$=sP@L+4lyiU1QD?2YtzEkJQK-kux%K(fxj9;?&2pXl@I3;AP5QI@ z>)<|i^o(Cdy*c=kQeNk(DU11?c&Gm(%I`t;iL9o}xb8f^zL$efDR!N;1tku9BgcDP zKTfKj$m(!08_9W5;v)9O)HgW12HFOqX&Qe+-u2(6cD|JkECKkaJxM?p$xnp`#wzHX z4t_b?gT_#NU$Dyrs9VSGUodHS64sz>h;e0>%H zzBAFb!mEzGrxoq;D)0%#y679eerTfBc$?P~gh}FVNw$dX0md3(Gh%%;l8ALlu(SCm z`S-8|^lIhAXbgmJU%dC+hU=yZ{3$yS7^V1Y@W7QiRW@M2KW+b@ml`OaT*Ino3@*XNPHI{N5BKDQpG9D9hozy=L>Le6mB z;pwC41ynnoVoh1IffoKt5F2 z*TSEh72v%tOAY!PF?j2grLmW-C$Jg8MIQ}c)Ca1khW5a4@UBzEUI+Af_x?Ost!*2< zDi+GEm!Co1m9x!N_gR0DI931Xy0=fN1-OHScpitR8z5VMp{A2Y^nH=;)(l$kToal-$y-*7to_x-wu8KtC?t$gv5Sgu(9Nv0i}C z+=3ZG!{{ZT3-NtzE%wyCics_AkK+XeylW8{GKz#rs#AstW^%w{z25G46D<(Lv{{6|HFECmKaReD z+UbVaww<)0A<`r^qZe=J#Wa}TnM`VgJ0*{x`quQ5vA~~00s?loTb?oyrZ~W|x_fUD zCei@*^qWrgcavkKTMVB<-)cTRer^8gLo?u>12`$zYS?yP-S?t?lf70@-WUgwN(4&Z zu%J{iLJV}fn+ItyivQU?y-m!Wm^#QU`aC?tv!l4?xHhs~uF~-K&Yfj)4OhQ!R&!=*K`X1ajHprj=vM z!3E{-xLT}*j?SWw#kJG%+dt#xdjgPqL*d6JaO1f-)`0u$8Ow&T_xFngfmwpGP#0uZ zeHWrf1&1gpD6ECZ>s{T9O_SN%v*59_6cIM!7Y^(VE3EZ*`7!`e^20%2hGss)Lsf=m zuKsS`SaZi7D=XQOmK7T>R7!e4mMwQ6=7^Q7&@(SjN>c5sMViKlIU_1=BwbVi@)(&I zq!nW_*T_D5Qwm#RPA5WA?V>V|a9T19o+LWCN~$AinkKipB1i&?kmAuK0*E*3ODzIZ zZoNZGUdw>4=FiCJiWF;k+DAvq2t2-chMm2(3H!Pll3V@fV_7?j>L|oZB&~MQ14%^tO#4rrIzA&B>zG=Sf;zI?$G@ zaw1Kvm44o!V(@Y<{S<;aMgwosP+$!l zzqDv?jX>lKnaN`m20Z(68UoMUCG|ND4{H~qXFEt+Dhf+x4&-S2SMNT&YW4YiU@OmZ#~bn69)-9z*OF^L%$i2!j$;*zyH)bm zxhb_3%a&4cd3()FgSVzv=-l$U=JF&@;xnU)v>p50a_C8ZM@$pL9Nm5jOn{`ShFW5B zgqNH`Xm~~GQFX0K1M2B>{igvH7uTY$pzV;KPciPabr*o`b$ShO+dZ)?ray4^Eck#9 zMV~c3nl8r@WpeC%ZzT%Q;r){uCi7#op~Blmu=?R4(K$SG$L3M|CpgiWZo?}Tg_NLvF|eC!RX8AH0aycE3x zq3@}*f%~^GX+{vcS)4lmIzFulb7kP{MB;-%O6E?lm-bt#PKYm2C!CvDlALc(U*^Q#*=ahYK-%E+TVk1tqn*4LxG zKBEDFk{!O^f+E`y&?)cf;}uIK)xv(IDo?&Tv=!s6vCo{Zg0Hu4)ls&c#<+!abjUd$pHnhlP(%`R6)`;weU@i4ofbWpGw zeGcPpQz0D8%X|-8&V6_(E5 zpqAU8cwlildJmPfGDuYWbQxF1bZ#i5Q*>f5^K;QRKG|{`5we3(un7^9ZMG@f#$HwDF zCWb#apeAaV3`^6gwh7I2rC(-JeLlIcmmYG3i76Q_@lhe$(BdwUB82idU@i9L4tX2n zJ3=vIa$=oBCAk1RJ#Mcj#Qb|vQkmSkXywY=RgT`Y{AuN=eehN1#NWJsKt zgD;F;vkIi|6ld8fI&&zGbm;8KvyB_7RY`fZxeD)yF}5sV^F>p?STzCfSy1G9%?7;o_qFXf zDFu(xkL;qbEc&#@m z6bcaqpKw)fQF82p#G1&O+?se*syS49LuOH8?1K0uuG-(8Vhh32joW~Ci>;?3$R(&D zNNea8$Fk#xJs>yJ9@PMywK>in)PQWuvxfkRCZpv`pG(kQkV%jgv=p=y)B+L)Dh4tJ z3I@_X>N3u zD0xXrA~e(pC=zH@A)?%Gi~)=pj8Tk9KE4L+tB1@9D0)udZLMOkkjba$)3$Pzpg3 zLSRH0NMcY0G(nT-0mE1#P^9M2AYgt0Avxs5P?7-z!0qB6Vig2qQH{ak2$8TrNEtD6 zLnmb(((FU*e_Wz&iT5B*n=IW=hb>YuXOVh~KO|qmZ-H%D^>jk%1XV%lp>z;_q41V{ zh^oAoGfRcOLhNBO!=h)rquN3>Q^(&n>QOLLXWAw)Q-}VCMVST#VfPsZCSh*SeiUuO zl^f)3qT}nNX5vtGNN-}}Z<1%a6m4SeN%pCiFk2>;_b9*KV7Ek%fge&1jyxwgdn1rS zi@URrgeDZLvWd(fq2*Ash6jbQ2fmu$z=70OO{bJ|kP{n(m; z>cXsQsJ7(w+`^|}=@~?~VJhRXU&C-Si4u_6IfTVXRJnx7i7Sh0`Q^ue`-pO#$nJ)J zn@+8I9W{z69US0^e1ba`oGnsG>Elq~md7jRRv-{w^KZd(!9C8FClK`kyET{%l_wDK z`E=_$TTy(UYb&@G)$(=eOC9np{Ve}aTY+}eTZGvag@wHJ5Ko$8uFn0`hT^82N3B{(MQG(hS`%mP>7!}JgF)DyT}DJz66OCg%vV0&}}wk^pX+8*?v ztsnzgxB`AzQ7K9YQ zc^CeZlB0qNk%tWC!I7eiNLc~wc$76r^T+MgY#ojetHBoo+S~}n5c#iIGR1_6sA9+? zPz6CKLSKlIx$H>$kl?_0iGJjeh#(U~BeVRr7fQXgHD*7aC^nOq%X(D5%W3%o|uoNiv0wAkZ-|f4-~S6d4rzJ zW@Tpw9R}`yo(rx;x4_=o@;wW&_q$UWKyNvk5#k4{1Gbo9Mx}e;dYpw&4RCVfCIwO@ zQ-xBcQ$(_Rokwiju$V6A1HK!>0~ONp7p zP))bm*j1?6HG4g}V(nrxxGdWkPGsX^GqbYau(s|B2G56Be`d=)OYYi&pc%OQCnSl^ zPHTZYNdMO-8`^K_Mk~s1Cf6eei~F|eMhRoXX(VvmoS}G`y+Ki&<({&=UqGzRexPP6 z4_F~)p<<{MW+pHVa*4OKwDr%oRP?h|78IChjP55FY3+_`&No7FGm)uly|9?5B~3K? zu%vf`bc1Wo1_q2$QET17@^)=n(GYb>WNwIS@ z2ak?YF?JF1f@P|T(J*zLXl%pC9mwz+Ln*1FQMVG}(X9m(#}zJRJ%ZQp>=@BTwk zQ6MwKB6=C=YqTtd(%$h`ezZxTMkhJ+1+_DStR2%^TD();s+=g6k3|ip0m3ySAdS$d zXLwO-iW}WQ+g##M?@KL-dcREJ4i3>`0`-_p`f>GQfmP zT&ecZ;Cr#QJ?T4YkMBg}`#aq?*tDwSU$2 zi;P3(iLSp;)VLckgz(}q~-Q)$DFZX())u0oY~DCse*>JEh;d^YsF1>%l` zA0p29P7(Fy>JDdPocn@MZ|(m)`GlnDL1()Xu7_~=i2}Ig7Kd5l{VvxQ;c4(-+_OE~ zeJ_YRN>+~tPZ;32+;|5=?yZOQ=z78)Dl70{3)~&~Er4dn#2p-=x9oDcirS-cp~tDJ zS8vO%c|N8q{Su=M-Y@iiQ}_WYriY^WxPzo%y-J8QxEPPts9fy7q`$V z#_0?_Nx&7E_k8->Z=H4U_kgOc#2b o?_H+#KPL7gLU(oenf78ehKY@%PBt8czFs&!V?Km?%BQ@>}mInmW5qQn{VX{~)n= zLTR5uBS4)Iw)>SiL$sQ*u-8Xv0(gE+e{Hj`NnAUb7%fwcd-5hdY=WlPU1M}N&erQO zc^zEiC;rC^rvf9cguUu+Yp79iDV+T}Mt-zWW>O46R_Q>Rt@;09Sku>TD3nn^Z`i`wIe2MLCt@(ZIV+T~fVf2j| z0vTjC+UNDta;A=8WCGF4`hRpq2xZR+;i0+uJ#=3`IB-z%zcNa_=bTi~+uUFKKOX6b zw=gqn=v(LH6TJm||1M+@Q3n->I`(U6NdvqGcwQ6LxL&L^=PzNHzEj#j!Vq^_@Xr%~ ztM=@{@Pf6go}Y^b4QY1K&odm24FgwfD=A_B!~&e~FT>1WY+p zQInwL-G(De=JVk!y_T=O-!wVC15!pL$G;%)O*CQl(O_682dqxquagR!dz;>bNy>Z^ zJFkNM9&vXCf1`##ZFky1LrtVz0w)(1A-mau(h_PQ(xX#k;+GsM@FjH%)K{!=>0Yqy zv)o($cx`C-;Qm zoY^3MD*7G@34XpI@+LtM0|NtjcVAt7S3(F^;P-4x3OX9J%swN#VZ9nlQg%GfVWMAt zFsH?1r@05r!=LuzXFV`fCdH-2D`q2Mh&d%w4m>?X7IM^axe_ z+*$A#9x5LsqXYC00MAX|-!&aH6cBpEVGpAnBulAeq) zDv8PCetQWe0LnVWW`u!Rw>rJzAbGYR3bP5vJ>PdYuJ%bAY(WwCzxZ84jl zXYd}9&X^RTiQb%d4#4*Ix2F|?S}q)X??Xed!0-t>@{KJOa^Yw)7FBEiRB)G_rSqw$ zaj&WVRH7D1o8DmPQe?_2w~V7>eVw){9WhnSw@S3sTgsJCGM0DuwqAUAmb3MV?KVOU zxG~j{ujgE5q?ELgWI}J`o#i>$qJbPF$Mzvne6VY|R&hmA(?IGIfE7KmfWkN*z+;na zhJPXK;T;H*HLZDiTsX|%I(nE$D*w}w)Mfs?7x#h~cd`^2q8!Jglhx7wj}gDkg@=YF zk&&zS^(GlFg<|1)jrpF8+WLATm9?|0T}ghweBEQ@Glk6$_CE(M9%}pnbulC;YCB|d5kz_YFOWF=y;#dftW`xkRlW0PZDeGA&Yp?9p#sVb zyCUSa^7^&N`FV9l?;+OKH@ZmZ;I}f;htP1{RZ$>|;4V+HCP+wDHxH^3M;!!jjB9XT zKf7~vRhc0_S?vsTU+q7Kj?vMJy2yvua_I7$0Z3D*3CLoj*#H~@ zB~X0nX;iAd>SdK@8tAcWgAs4dm5gKT~C8CY(M4eJ>qLkaDPDPxO1yQSJsnKCxkd)Vocr2E#vy|mNdrc%1rq*&Z7UssDhEqW` zUDQxF?37f|@lJyx!hKt>s?bP>@I77gb^UsJUJsxVSe^7GG-9p<%YdynG%A zmE3~e<9E1d71Ve#@O3!}9)VnMZYSD_tVFOAGr1pMWN`~)u?EH2Rl|;Vv23GbcW-go zw^A`?78P<}T@UZwvN=vyDHtAtl9N-@BqsjJ6@1jX1DLZUBgYSYSp6Z~t z;ITGV&oPT)Ta@kc)c6(QWg4_*qgw!9qy6YX2bZs-4&|4_>mY8aX9u$?ot?S10-n{E zy0$o_=If5EnBkqqtaaB5?7_quEx$$X>n(5+8jKD8s|aySjARCnSpHiF0#t_rQDJ87 zll9vj^ylDPBq+}<>@or{a*517fYS(lu#nC1DX(l@;@bQqPJNrTl;xQu1=XZguFlD1T)N7P*P+!dUYYi~ zRxaK(iZ=b@S_`r}!P2aVW@NVe<}&-$U-F;bW}4ls==_IAUVE-NI)#H;Glzryr|ot$ z>a!}XuHf26E#V2an4-FjE_JP}Wtz=0y+TOviob8x@3ac@@yowcQ@oxhh)fY@p=MOu z2Oje2g@%R(*L-pMxpW$?l2m12KA%I|uF1y0LN&u>-bP{V9)**llaJeaqbODGGGg!J zs;PK+`pIM>CRdbb$>Q2>sBAPcMhTqKgjpr$N55&zaLh6EdGvnBtVmk%NV~J(+>nL~ zc=y}aM|6yoB~sa@<-pyDEG?HbvKLx~L#~*?1hC?7K3Qun`PBw;-m2conu8l^0ybN% z!$|VA_3i$`mo(PR6vVyU8b4Hc6)EH@;S(?vu--$*I=I1%mXlB<2I*}u^&F?M%cZzt zwR~}_eC~68J0VW5{1V+7&-T$*3o9EecP}q-uk=w*lnfc9e?L7=j)-Ck%mb7bvdWc> zP};0$+odV%AZ?RiiV#FgCMmF4NJOxbvG}as>>n8c7H*sF+C>;n-*e5i$|* z1j_odbibvCv4BU}13bozBmIJi`uJDT3gjXb#RrdtP#tfml=(38DhE(kF`G+wa^`&) z0zb>yw-SFw7tCS=`wB_vv+oWvbDN38^PF%K^(+KDdVEuk4QJabH}oab|Yx^AeDYz2f?SjYJ`h^ zMjnEar2VVqt9AyYgeMuTTr;nRQhM#8_n75-YuAecAB?4#S`~&$^_NrlynFx=U-4Mp z4>2@pny>QL1}57}`w8HXs)t}J`tc6BAoknf>V!X|;IJxXLNdm{${)D{v;dyD|`1qqC2L!8l8N&%vyzjij z;~Zb3o7%?3w895*<*bpH?^{5Sc*sVV){d!vLi-=ycU*LCyl0`%VMH8Oo*p4}JqeTM zVkjKu$R8a^gD-z3CxZ&DmdjgrXwswU5_LR+tz+K&65Nsl`<@{rgF;F4GwEi5nyeZ3 zp6B5TGN5Fha9&SeF{Ne&38uzKuN;%eH)|${YQ~3e_WmTB!Z)D{fnribXg64gBO-j3 zmb!y*Inr6@?DH}XF1M!(Ei7wF6{kJD(e1LotHRl*_rO-G-d()MkwiMA>Rgh9>@4&7 zr@E{~`}DFuc<2e?{7)jZXNu$vxgCuR(u10yT=h*p-099EJaBIuJ2khb20m7`f|{o% z%iq?GqPfr(>d)0pQb_g`)YcV#p$JenX}@Pb42HAiLm#Cw6LyR)G9ckh<;nmMXe?bE zUgf>2HI!Ybk`E>I!!Kz)F9Xc^r&;fh7gf&18x%K#ozm|Q`Xq<>-Wkp6 zcGW4*m8m#g&&L(L9VsQTb3kYkcsn*5RF{t`zpA&z@t4*7EQRTQuX1@r0+~9e!z3ZHxJo#-ti1bmId?I`EBZk;j7gMu158`vOo-1gG-S>w#CR&q6nK= z2fi*gEwqROA{+I>E~)~w@5=>Tb3;@M^!?k|%zmt1BM3m2m&o^t|6#?Z`2880Ot}!k zjsT0JofCV*zE=N&Ne=@g5d@d`CULA}?$?6NIzH#SoE&`B^9#d7()oJ)l;VR%s~jRY zN5|gpp^#_x;DTwrIL+_FyHk=c<0Cm!H1Wr1D0frYnLe9IgQJ#_DySv3LWfYz?7Ti8 zrVC~dr%#ap!e3eWW>dnP;e&NU18n&d?3qu;-vF0FT+|ewm{##fhhUX9#GAR1OqRat zR!iK}CHXXXy;OK6?eln+y6WL^KT%?}Vkq>M-$w%S4dZdiV0wR;)UJyAhi>E3HS~zD z91w(c(!~jd$4XY}iNkGrWg~fm8pU=(meTiO3CsAtgY#5?5A%jL*Ql8iBkM>VgP-Cf zamp`Zi-g85Ryit)9ArByNR9%JHBnCnm1at5!wI|`LmUgG2i0t#9A9!kYuI||lsFrE z6IjkgQ_Itl!6Wy(tO1GfjmQ;k&a2PDlv6WO-y;R`sF<|D8=(@L6Gc} zBNNf5WnxwHvC@$g8jN3pWWw$=f$gyS7T>tfX5~>troR#%FTsMD$vq^l!`?c4osMZQ zQyo*W2Jv-w#nA!Hl9D$4*~QT&FZ{5KKD}8*Ozn=W9)uB;32jBfm*br7gp$G{CPrMz zVt@PWDA_m1f;^?roRn65uB1#9oW{fuL>+4j`VF4(KIE&gQH1vG{b<3^apDiDI2X)ycYCo4K z%7$4mt$Uw!&TeGF1ff@!`<=ri!#B3q; zXXaO1lHnSbYi~z@>z7wHglkzP$}{#M{0%lmu*bhH*C6w^RSR zcY9wMes|v2?Oy9WJf{O=KMT2(rB%);z18$O{qgHg_qN%$EP=QvKGoe7m1`NBqVg1^X5ICbTCJCWx?QO(%T;LQ{U?JuDy z25j25RLEq52X;dBhp0uA-HKAtH+WS{jXxxR4LZps&W4y646~bxnU|nEi`_Cwo5Q`v zu$lqA8fh7pJGWwGg)fX2tdgq9LR0h2Fl1N;=`=IV zDt*|{c8WrCqjW&5LMC`ht`kN9y`~pK#U+&^D~CBE$tOA3K=hwO|eilZOubb9#6 zeX_xQSsY01F;^LGaLrt^Bc!9R>%nhqM&T>sS6N(B;$i!eXb}yQwr&D^*4wcCYgP4w zb0x>lA2L{O5Mon8SnhAM97xaLL7(V7G$|<1>dZ}-c?2ClYe@+iSkHD* zSj%KENYcfWA|g>_iV3&aB=ns``E_TQS%BbMfLp2f+;vR=)^Y}kK@;Szuj=t*lzKv& zH0^7DzK3o_D@NI^Wy#OZ>%OLQjvF@$E_17C*wOXIQ+XE6adk?q4Cuacw5Q)!6C3kC zmalI#P6fvFe*5@1>kL?r!6E1;G~By;L&0KY!+w<}^4#RE1<>=BEQ}i#Igu7L$sU8v z*$aT5Ql+3eLKCIH&yN%l!dly2LVb-Ti>S_q-mM&07SB?EqJ9^Eu1^zbTarZf8(1gF zpLgbnSB@-+6%yX&C{WqTc7bHEXVvsQyKh#`Erg0=+l1JG)$!BgKp0qvu^#$rNE%%~ zxZ~`Ifjbs=R=-Yo+p<;c%L_ z7)!+rfI^PNEVqitmz^5A3w$EHp5Ej0c<3{A7;yXtM%2<3O0+m+!dZ%(5y-4fo=B$^ z)1%@)swsVECf011ioPzRo)O3_iEt{bp_K1T#yv_QAyG=vlEqS)rWGV1RJudx74)l~ zfEq+t3cB*T&4zkpD)29wfU7bNW=?^bzsS`yV$UIZ?Di=sZGwAYJqD2cpUFvR`=mjr zniSULG&Yyz<_8;I>Ke7Ym{#46MOwL{mTKBF(TrUx_%Z%Hfz+A`HMeSM6?(q%xq3*w z7wh);0aao-UAYT{!g4V#&~TF43G&JjU}*wK({qRd`{N~t23!aOxBrt4U~;n4#tD*{ zMO*naH_4fvtJQ?EA)ka+CxgPfn)%W4VJuA0MnJSFp4;II5VS^#K3O@WRl^k?>_LS= zAtES^qH+wqq*P799-ELaq;onvCX9_`EWmn_Dxo5nAck0V z=^?}~2ab)6kHPv=ECdTRM*%`txa1YqvUBqPzk}hb;!s5xz*4n&3UZWcszzVccL>f- zi+E3{g9$5smnIa91eBRiY$%-A81J}y=EO#&uRGqgyOdH;N=ist^4kx$?SE=V_`Mw+ z8=8%UuBOdVyG$VxDP)DV9&i6`?BJaPp5|azP-AgfWF|&$_gLLFbzuGZZNE}^QqGoK za~eiJ_g;USKm{8>`fWtsb*W#&Zb0PM1%hR#0%$HCDR(% z$*n1na!TMP`SoAmA42cYSQXGRdqEH*yv^Nnj>z{U|Vh(wPe<46d#=BUGk^nbK={d;nPU4I#c zM->*(e2&~wMl86iB!kP7Qw>Ln<>ApT08yuBm@1sOmiSLS=ZjlER(8>rgvXn(&?=^dN$hN{{VK6g>HetB z;w6Q+MyYTm*CeV~7MnZ(OEG~9#JZOL4IJ{h0}rlIxMXHS^PN+tGFy6rN_9F&FN>b3;Nv(kdm-knk#C=mc{A^oGIQX2_78$?1;00PMjeLIZwu$R6y{ zr6W3U4U(6!XF-UXz>ZxSoTLN?`%SZuwe1D>e*~ic?i^+NAZu+%Gn&8HUsjd`B^XxB zvjj=2GR3D5bICUqb;6db*n9+r=8HT+5sCtDewDJO{Qk64C9J%*h$b^LPt(r*AA%-S zBGNb$TV6cm|LOh+BI}Ht>V6llDpKEf38F{R-Qk> zYl5T5y~ybqW0f5{v9vqxH)jI0wkZFB!YT-A6~`u`Lg7 z7$o(6YbI7XI@T|eh(ubCB^+1EXc04Xau0ejma+QvWID1Ytke0j?og*ku7{SePAzW< zosBjVP}?Gees};xS1$<;Aq>d5pQ!o~%ACE7!JS7j?2a6%cIK(9&rHaIH7^Q6nEsr^ zP^`DQ_Rr*GWuRpIQYi02`CO3?h$Of86^(r`jgbscu(~tG>#E5Smcd^l4FQ|OZ=}}z z^|n2q*z8a3dVGA~R6E7FVhjC#^X}$EA*59W`qHLEs@dVHx!v8xwZPNvhVHnd4gI&8 z51vc+v5B>lO)UpTlX6$a4^b-u)GmRTG=!v4oJVb1t#*dtX)~cTNZE~G+LGz zzy~CM&RMG7HS{Wo`L0&Ozpmr-v3V@%>_$Bw{u060l)j2IhI8D zrGRJdx8}C7?w*PMxEvTZ93#Zi)-A_+PE4O{>%8l44;=Z@p7^`?*70~DX2j6hzsqcL3#P`nSdyYS|-ErVIWPq+n}pB}wSPucYR#q^@xNNaW4^O+&cI$zr4J znXlB;4GzEhgMF3|lOPH(y7XqBiT;X&GbLZ4ElH0jVWp0!)dKL=VNdzQh%fJlncX{9 zw^}3;ixn@) zvgEcS;B{t1tIh721;I9j^mS&*qer$8f`cGLp4nO4khej=iiKz^m~&3j$EHgjR#7?z z;^hk!sSD|lM6l;i~g~AVqlD_IZSBSZVCsMmX%w1;t zJajeYCTJ1q4TN=(cDL+F-abD?TN4XF^=~}4-Q3v{GRSaLVoU@)zy*7H*RcLwe2gCG zOBxf&jJ+Ae=xf5u#puL&p-?QsRo?c%id2-hJhC-Hh$IqPA_3NV^?;sdOZ)SWhh03SNq*rc zRSl0gADiV{9=RNsr*;t$=n8~sKePxqSCj_6ovZGmwW3*NpqfmjcQwTUQ6F>!IA$|p zgOotU=7hhVeeL@AMt@^|X17&kh4FK;B7cgMQ39>%GqsScauM8l8>PluK0X}I8)=}6 zQn^TFaB5nU=&u$Li5Z8sKFrZBmQqV--(3@=U6dTwYJea;Dg-KxE19;y`lW)jRH%Bk zJiIju{uU^>HQ@&`*gsm}Z6EP#AUivetuuv!Yt#b|Z;R487=MxLTq>6e$)=)WC?Z=5 zqwEm8>B_rxF(50?X4yc=QleR8UI2JQcm)bTid&tkD)c=1ABj5W{M*E@0hmgoL))4H zHV{(q)dmU{Dekfq3^3*6BcXzkl8XuA-8cY6$d2AJm>hlJ`(*|{kE^gBSkObr#7ruc znbbhLxy5Gdr69nEA{p>*F1kH7d+K(3!cJ~i|3!@HlHfOhc6=xAqoBlKjupW2<~>|rO}xf@(Z zLXZ#psbfc;WIqLE{7img;}OV%{1khjG*&9JdQ-OKg_WT4jH#&17oZsw)XX5P%dTVu zmzu!kfnFui|BIPEC-%RWvvl1L^>eiVYn-nIc(Y7=S5vJD1&Efo!z)|6Ds{F5 z0vU%?y^2pM9UIa`{5DD?z$k@KuC-{J;^_MfL;Td6vP-4*l-HAV$YhS>2kF)D;+>9| zq+~j`DefbL0$>829v(5_0EgIVaTJp1(wf!IkCC{5-ua0{w-g zw z7_S01v5Xs8%7Jh|>dXWK8K+F<%mjiNC*Yu}1(lf_$ov|nD)L6BCJVg_phz3@%~NF6 zG{?~o7~f4(w1RlcWJ~)f;0vopr+o7S~JEtn{<>$rGuJ3CnFWP>H4hqjgIek5O8YODIB25ZOJy1C@l zx}{s#ti;!`w9AyqZox<4cS2u+k|K=*u5j6pd&kj~3xU2V6uJ#iT@_aH(+kEOd?*9I<@1a#$SWNnFkkP2fb)vQg@CS)pf(VxYJ?|j0lISnxjiQt9gWBAc8bSj zM=aKU2&TJJC&uIOjeM^C4i2Q5J%w?0E4(S?bgiNvX|GoFMFL9giZxqc zMIU>2g?bzB$s5aaKPDA$`?P}makX|o)<0jnSIH?MGjwt-`V$^u5d-A^Q^?0>Iy+5+ zuwZ%7w{4sBHW`f5Ohcx-AAq=PrH7;@aQW^-5+UE+>}~9wcP92gq}LY)S>Ph97c=<> z%rYOWQ6^YtNmF_V&~iakE!J^6OREuD7oXcSETSw&mxQ)(=<{4X_?q6KyP^q66O2U2B=V57H~50KEpM&I12oEoJ4utC3!#l3TDj)yT){ zT9boZ^IFrub)mfVk!=yFOlI&~Ep9b1ghGY4J-B{l5kPRnTzLZjGX4vs6B$ItQKY(y zc}N}?;VtfBs`z3Nw-?dkZ+}9g1{$S*GK$(pQNt)Y`p1{Gs9uXAT7?#qYqdLC@ISY+ zK}Wdf`5p}EL3>_qDayB^3ViEJImZy!)iAz&tn2F77|3k7CK1>#0)8EPjeFsm9n87) zjSr@Z=#8H}|LFFfmwGUw2bHh(`0eupSn&L@TDJv|gj!6=_=F&!*XgUfH*48K2CnWk zXKHJFIz0&V(KJ)vV(CAz9Swr zbT|j^9t~+_QjgB+#l*O`cYAx!@eN5&ZgZ2fJ(JYyhhl9zeePZTgZHeDkdkoa&+M=< zl(qK_8G@O)4Usq|P`e#AMKY~tV%!QGkc5};*O3ko7mQCOZNszJ_8CMbL-KGLN$R&E zYg_u0ox?W5-3^ZsjuZ!G(KR{JT_XRaQG3*wdiMHno>ggCwc*c#A-JFYYWbZM>o?!UjSZU1PK5-!^aX%QV}Cwp7hHJiQN-D`Zc)i!@2 z&ju)?-);{W$R+D-p(9_|5vEicsX}kp+8C`!rB~^aBiRkEq+LFE?%O+$KmTAs;b{$y z*VM1_MqeQ@GLddMFp`wJnz^$$?gg>bD}p0P5;<7gKTCmVDjC#(cwyQWG&b%hF6Sh< zNYRt$ig4U^du|Ic-#-n+f9j?_zVI}K#igBkb5OMkguha=Uuw^SDopLQTB}*5eAd_p zRI7+#$ieIHqn{8AB3i_W^wxIW>#*-37A_+s5j%R%#hg*m&kz1J)7AO?^-KO~*%Knv zFs6aJ23NaJEgrYJbKUy3j`i!>>m$ccfj}3G6U-rAYHjH+wPksR&{O!2YCCpz%#hr3 zSKhI+Cq;5@!M=Bz77kBp;wrkdQ3b zpD|DQ@<~JuS1^Aq(pmKya;X-jD3l^mDS}c22+DTALqYQVT=+vlxHiEt^K|Xq<&Oqg znS%L&RmUKd0Gl<8{B3m~H3-W=C}^`K`J|@P2Rr$ah@wHOrUr_Qr)8A^J>A95e59p6 zQZhCIKYuJlmfQad56%L@`%%8@2D-bFGHa`?xV3m*LR;VlA}ghIH+du5nz=$NfJy0U z_C&YURh%>h?2e$GEIu)u*|a99^p^()e49@VIO=d>%E%&RU;56x)bAZ0)`!}?p-!K= zeea{?y8QzTB$4~73avNs`5gV{)f0(AwGH2K5nq8xST?-mL{dI^`96;4mDQYl( zh(EkJo2b2!O{@dp7(5L7Wh+k+2$*AIzpS&Fto6&DTdiNV zUorGi`(M3mXpCEne_t8sO&SxhUv@`2 zu_mlVub%kcc~)+Z+AG^?CW&~XCKUVq9RW@G{M3o;{ z_NvA(a=3IvBPKa%Cu1Zo#Yxx`L&3AC(9s;33l$l;*3qx!M80ZF#$m6`m8!0gH~jdb z){y0tgF{D%T_(g*QmirBRN7z!sK_GKaCf$}Sfn(`h z$!K_X2^<&E zVhNKSh`W*w1=Be+GStbSw$iadpImRyM#5INR%Eo9WG27K7IIiz;oO$4+`&?iR%zvO ztzB<+X+&CsR%UW*>_Lac8P09yITCz9a1?nQ`ONo_7A%TPBHOS&q#HSc_0D(#>U+*{ zRRFnBeyDr0TP>HXyC;eAS)_aq{BI*HIm_{U%eDh~-&@gvx7J3%wK4T3bD+5KoqYM6 z9CmIS*+*bKK*H4nxPoG8eh(cyhli_`y?O=cb1Vio-0jHq^1 z1{zvqS11MuzJLkEa#s|VUJCC0Dy@K$OZKUKS+Bb_pfg$|xR`=8lp1MRoy4Z~w`DXg zxzW(H|MPoxKfWWjTsgqv(n@9Zf9Zs9w4FTb>Nb~XC2$0(J%TFW`ecfuZ6T!n#c zvk-PlC^!|4sTYC1O8tbxO5hNjL?)2!7uR-oCnr*zb_`mWB$9N2OS)-rg5ADdm|_Ou z#5S=RFtJN)Ib5=^I=JR9EL7`aurdZ~VgRWt)q2>=)s7dq_O-B*RZWRkC=;*VXk3E} z&v?sstz`;6*smg%2u1FI&f+&p8`@vE%6sKd13)XIiGP2-=Z~vPNhK?E2sRcWSAiS3 zejVaV^a%zr2K@#IqePgO>$vA|C*nXxyOFM`ShoUJC_^?|NDkXB@TO2Vs|Z2CYHMOO z0PksUS;EzRyI#Hu&(7mpQ~|c!qM}ZFE8zY2ptD{XJlp^fsq(A{kjHiH8_UBG(XI>w zFJ)rlx4;ySf!V@(>{MuQ6O=Tav`1w(6F2(JqI>4N9p3F{O4#GiF$}uAFUv2^WYIuITbWq4&*e zD7Qw@SOz~8j%s9d6{`jaEl!zs`k+wt z9Pd$>Akcc|erBYniP7nprk)W2vTytC_uPKZKG@EiBOflDWskAFKGSvx{64k-f!|aH zG!!njm$@!oUc>q7cUSf77O3gGlWQn`SEceseZ0#H>P?HUbLlN1dKy-%VHsOz=FLF0 z6SyknhZ8B~{)Va*93y&5oD#$tC!WdWjoVB&@5e$W3a_Jn8eRBfL!!zQEa( z8Q!J{5;dD5{3g({1IXSfd*=|h$aEliKz3kk?0^h64??l%Nx-$HDX)1HE;Y#}%515V zO|UI3p&`T!$@j7ZR5xuky5W_LTWaDPQ8>OiqGQ}z(}$<)ChQgZ)kce5U&~mT6D${W z&0DiYi%vYx*t;vN9j%P|%I2Qz-<>R-0*Z~Q0b)?@%35@@T zwQqrMqdN1Qb4D}L%t#uIq!~%0_xowRY{{0N@;go($FURgbY6szkc8NAc$KA(Eo@uL zBWV{}wvXhtgqBihVmpZ-Ddb)#zwNec*}{+Zmcq8(ySFWD*lj7>+csA2IU~uIlkjMF zF+?5_%jbOOJKz8RedjyhH&m^bi&N&7+|sC2FF{VTa)zM)0Dt>xH##mWB9L!hyxZ}8 z>=1gRR(APAW1H_-=~cvroFXN$ZF_U=PKU2PCb0WcyWqkbWzB#RK!B_3B8bwZKa#U( z)(K*y>PZ{C?h?FnPV~}Ve+f<$Iil!|40$L(to@<)f$m`o%L zu@dYpa!x~w-k>5|TMg|c7%%FIq@jDLambM7_+_o7OE1o%*93Yk>hU+N2GSB7vJ5lB zwMzf-c)VJrKwd0OP^(i=sk~<|^7tdK^25~G@#bU)m=GpmuT=hxC@OaO7koMe*q?{t|s?}li z!Mh175rDBGleD5Q!oq1waC=c{<3LJWitzh6wggWV^+b`24*5C3IV3EG((1Gei`8m) z4PUcS)Q6!^dK}Y#nR>zQ(UJ}3=@I|9dPm{EcEx!U{ z8ZF|mdYiet7t2N}KS0hQ*#wAdE3!Ea7)DRX_7d!w3&^Z&Ey3v`U)0O)AscHNVpphE z5i4rJ+C}A3_84vrf+w!M>fc2(_mUM0(rgNa!XVUCS2qEBn37oyKfaF{T^UJRq52k` zNR?mESqrIn)}dGbkUUSD63y{~T~mI}CaDcp0mNyWo^0|5MGdL7S>_*u+hswck%Bff zvwnhrZ;|JTIB~2Rb!Yh?Fz`GOXvBh_Jnc54FI-3A+cQj1s5w=FLQ`zZ_=S@8&Y2>$ zq7pqrE_i%NaRmY``<4l`)C(W|=X{b9N;Dw<>@B4<=aY6P$?#e*Qhv^4 zp%9ipuimUvt2D@V&Vg-=fupZ?My*UBnlw7?4gsptIb1h}HaNtL+3j!`=I1pMzH5|+ z=iqgei)cXpYv+twY%PH&<_MfCQUZ?`O}xir7w<`>So`N9Q_T;shsbH7t6H)U2l*8_ zRF5e^$H5x7qKAUJ~PlD+C{g>8bu);v)EjcEjQM3k4CT=oi2w1&2A%_ z-C0^ogotLMX@+&D&w>*;;0&HD3WUkc>Jv}+rfmE5(~T#nLsiGJa?*66Hm8M)Fqgw# zMQ_#B@VQutj>5Cv;^sD2Q#v69(ztgGD}@}+prnbdZS3AyuzioQ1RajXfGg*+2dy0W z&a&yT1Sk2eIb`HDoSHPyEKQQ=kMb}5-t^es0are5^TavlfOPm#wF7M#cD%8AIM>O;!8@{)?3N;T4j#i$FY(UlcZCIw}Z z()iXF?)^-|=wz=c77j{WrOc8>=gBx*I@{a*`H+@jRDjGGZ2~7cK7Zfn%_~C)s(DVZ z81)V-Ps_%YqoXSo>RG?jf^ zTc=}n_fe~tSU%W|Qlwa4@TIJ7v$|n>d*4Js_7=BwC5MB!}CmzM`Zax8CmHOK6}cx^6sqg@jul0^5(B;v3q z=InxC50$_-ix$GJ*E6bnPlV2gU?^mbe%3R^JY+qzSn5;RI5nrbS~+v!9;PHNS)#>T znDB19z5I3F-x7^>H+qq2XYHZIr};|yM&EJi zcgEvADn1XZM~n0c}=yV1x zOphKtcH5d(H`}r@OGE0s*`}jdjnQdIxnfao`Fb^n<1L&TJmihWT*>@+i&L#(1v{?a zYX!fBH&HUtP7D*9h+DfkVm0_Q5h0A=FtYjm;C>=Qbb!M}Rvpi%)tNXMT#4Js6ZSRO zx_N@C&lL_tRzDyvJHjW`Wd4NqycTM;p5l@G)Vjl-n-^9LQK1X3Unq1{Yll|c5`z+9 zRSE&UZyrqkiZmGXpZdx)7@Dp=!JG74JIDBon_Da~y@qwlpUADs$&rzpMuzwHdm6%a zXV7JH1$#C(I~%2Qoc`B|i0Cr2iLmH4v+j_8hb%N@eKDC;{e$1Fwe!hkS(`?q5jb9e z6tqU$1JQw;Qw-(2!CqOLarinc=8j})sL4*#?$7%pI?>7dLt4>Z-YH2yB{~c?3v0As zzOWH~2OdLKHBF>WMvQnHI|vS`4o^6Qh(&)gKIPkQnWm;IIk1cNbV&)a(0eChz+-5y zIvq$uB5^tAMtJUYgd}#+oTl2w8YTEI3)+!t$p?JpM{CdZhScW^bgnJneY*;2d>q*z zoQ2^zhj`w57vGUV5%pq&ouZ$a{t}064xO^OoQd|68 zRcWaNWNQbA;>o-pZ{K9QP*J)8@U5bm)t~I1@|-M8wdbSR$>_ADPF~fbmZ=MsbcFX% zzssNT5?4;ZrCJ_=N?^p01FK{>V#~T~%O8x&*#-Udr_#Rk_S~{-thP)lYinPf5#L=$ zFMo!j9{tmjPG)0PpM?u_j1{Wdaum%!K{T8U2#dQ-PdM}^!czf@XRopuyggm#1~JI#d*v!gPTls|oKzI{AvSu%rWG~%ycF=Az`kSHKrJcq_=LdfVl zN0`BBe439q3d7ot@>Bk=R#?`%6&h79Ifh5nG_mHkk?~tb0@1PC$5-7v68?M6k@UM$ zE}pX|{cYXkI|I{W$;j~J(7?gfiOBGQVSih~W{J0lLTxe2Fy8SS!8`CQ8WCoI%`@&a ziv=hu4A4x7Y&zvuQJ4hUCk;2%lR(SWN#GJSUXbCHB(Q61hbCBX-oo#rdKBzK^Fc4A7$a>Soljd+6G9n$#iPM35(TOAfzv_!k}iJk_FhSPBlx5=VYX$@M3*(!@tq+4N9 z=fLySQ6ftuW(mJLj90{9;yL$$@NpY=+;kxR4RuBHUr=r~JU9Q`YjtEicNt--BUKev zj^6{%Yjjp$$aMX-qF$%hcj1kS?a!1RJsy<3HaA77k=b-QeL6->ZNK&+=1Yf=BB@m9 zk3*PE{VW*JQoK#kp>yyUWk&q9;Uwd)P;n==DuSOOqm!0N&&&c5UnsnE6&hX_29%aW z0pT%U+UhZClDnGQ$Fdg2qF8w*8nZRKkp3$)yvDl=>2#oTyZ}b9)|2Ru@(-I^0zR;= z_N?4EfzQKx(1^oCX2$4sm%z-N$*b`qM0f)6JFizKPwJ+L$%-AsF^RgcAHE7#AH@oV zmPW2%6b*+}(Z_I4%S1fq(vip16lV#$T*zxC_o-CZldKe^%uvl3tWr6FYfECk8@w!8 z4QRiTw3aD9;PHUHjFu*Gya_%}{t1n?msoqo4LTS;f#OyAgQTW{_SNARXkW<;A50IRk3Wd{lEnEZOFs`K? zj->2b@~~RPTEb3u*vh~O2)0AUj8M*RX5elJ*F(-ym4RfflYv{OEB|~^A{NV{M6}w9 zL=-pbNbO0H&v)P_l}>Zx=LjG86^_JVoLG#+fnU!_T#92$;0=Uzel9xc=P2i-uz+=n zgub)5%yn@$P>ZgG)wSqaI8+sirOJ)eYWUYQlo~?*xRr%#H<7q=OE@V(`k{MiASOco zn3W~R#_+%AYNHgj$AEg-zy{YH^6Q7TO zx8c2LhF+o_Gos(lYBa0`slc39VkC13oGEHq$uTK1{3LUbyqTyArqyPon7wMWthp{c zxL7F_+)EeReNhQg%U@ziQ#|MkTeb8QycRN2#OI5e0SyH~r$P(!O$aSc0}UCYP<{@8 zUK}{3c)Nu6RulXlq?I0t=_d&Tc;~dsjJ^n835JUt;}ssZ9pL@Pse{NkpGW`JN58<5 zxRuGj5Vu0ef)!MWC(vk@Ys!a=B18!H?3_+e(THA7ivr6(^Ie1?6e)@hK_kl^oylnA z0Phc?XSK-@uzSVw+qA)fv78{!D>>90p(V^XJNcP8njvukjMrbN(#$%34(_d`JeQ|c z->+skmmkBQqY8oBsjn}7&Oa%iv-4d(=k~VI(bo3S(L(tys$sAt-;e$)&!Nxxzn6Xk z32Ha)>_@nfHvvn8hY;a?r#UKUUunQsbzl3(8r7-o&c$@?rQ8Sn9I&>y+Y_^~K-Ri* z5!^9=(s}dBU3BGcdfux8U$14)k@x7#I$EtW>Hf4T+T!DRUrRJr@bkQ1@pOK0>DS=L zsvDKDVNVBsMH$;yPIHDB8r>cO4bAY}||zMrItjc)ZccXkCrr zP~|3dDBS4Mf?bRbAJ!lb;$^)F4NYs(Uu+6i?pOyyP58A5jqKQ^x4~27x0Jk3`$@uF zf~7O8%WoT|c(jr)biJUQ{ar~8uNcx(dMup0SF5eO>MwsLrC3XV=L0RVcx%952(->G zixu!2g;=Z&zqVoBzXOfu5fDMzz|1h@Fd9Oor2m2fJkq^pO|g6Jc=7N=v1|R7Vi*3Y zHgG>|ffEEz2s4D5dlGmF6@jbMSF3${D?hHpY+I1E+-8#`8#tyFv=sP#Ycf@6N#Y(0 zmo5=)(2YLn0#uU+5e6SdpS`zy6gsHGgrD#|L&)Hd=xqb|13?ocJTxo1xx0w26ry&o zTzEyX4~Q-sEt0ufUO7oB8?j>Jpk>W9>&9rQA>xSGc``qmlkNFcIjFTpJ%OZ^q}D%M z-u{zU%hx_{uoyI$ChUIcN3TvzzWSq=_fQ%&sn$zqJloNDjA%SwB7k?x^o&uY&Z1%Q zgd6;6R+QPwfN(ePSE|}nZQ#_@oHyp0;DYUyjL<0OR^>_F9&tn)Bzk<^HESu-)(~_@ zWRBdu56V-o{^+IMn6zlnke&laU;PO<`m9dUqrqsXAC||_c=lg1g5RmWhvegZhA==0 zNk)biBqb8=ok8*;QWf#MTqm9?9xRa#c&oQ>pc~oxWXKVbdDzgMFpKf-1QJig6>PAo zR1bcs{KTnKz?Js|@*S#$hq?5URLA@L5Lm$#z5&tXg}*<4M@r z@;=7wm+d}L11tc)*_|Qps~vTNXKKgaBg*vf9pcH7@~i6Qwi2qckV5 z^8v|YVrX7Ay;)cu7fj){NbAO)giftvkp?oRwu#$^wjSP*k^64icoMvYrFerA?Iw*i z9`L6F4*uEXaIs)_X8dNm$Br9~n4OYA@OY)js_CKhwp|B%Kdn{zv-XxRkzYhJ-bn0z zl4yjl6?LO)BcnZ$(a}f`i90>KGehW^v*1=_y9dE7r#npO%i2-`H_fbAn=AqIDW8v8 zvDsPzH=m@oR~kN~E))!x^?a!0bRddz;a#q_DV;7Bj*x2aTun@(izL6;dHdNr2Bz0F z>ly6nacVBT;=1nqc&jrwxVvvJZ^FD((Cus8*zS?yy~*6B!7N83&VhKG*s=N6Wm^vK z$U58B6}tA0Bo41Wa$~pXbm|Sx2CvOyq#YiIJ=-6T4`%HeDdctqM71N^AM>>*Y@VP; zEr#3{uOyg*ep_Pv)|G9$Ru%M+POsXFG1zrUr|MB9h#2y+3NuNsp#%@ig;gq|p#+0x zq`1MmDQQQ(;?1WF6YMtC1W~>JuH12ZLAmx`YcI19dB`oOPwgU;fHBGsys93__?Xs} z4&fxk`F}Im3~EYi)`O$8Bi)xO3`P0J4AycQmhT7CyBl-gt!=#Ts;!BDC!0*z;rDS1 zSz2qA^eptY6Cm%wc^iH%4# zZX*OjsxH4$w71r>rRK#4ubyALa7eh=`2=BTH5g1DUUp~cKJgAIteJm?y7?K!r$`RTon9Bku7tCY*wLsr&;9QoYpmdx@- zSw)eKFl-9@wQ}6umMgyr$;`HU(2DK4^lRj`1&|*cgzWwgo48g2R; z?!CtE?^umiI(12>thAem;vNJ4JWJCSp#)!_B`lOs0w0={H*(vmO-&Y@lZDh73}&FB zo7I-&hj9qGyoEOTa*c2WW9@o!p8RdsZ6^=zdGwZU zf8UO-#<4bc%YozjZusKPth;rrsbfc9p#18F@v+TP!$5k)HC{){SUx$Fw%u_3_8Y*a z&38|vqT?T4+q`{z$m=Ms+>{^w=tM5L=1_lb^QvBlXW94`*w;I}vd@#xByF+l=VyW) zxvbsRnD6k9jNvug=HXCyFFp2|#?+2oxEBYfWV(2*vCrnr+kC~wjHuG=WkRe<#QrI+*)@k%Ct&^AS z{7`Ynk!@*t;FgI~;2l=b(wE_9dS!RP+1l^$IqkFNHH`r5-O5DQrhJ z_~tnk225m&8vk;*Brk}@X{|F8#7Ugo~!%NJa5MJtAFn{ez0AzSoKC*NOqt-ZF5@Xrcnf#O?Is_3>z2Wl7yM9_hE*!2HEHr5neVC%ZOjp z?x-!lbp8wvR}#xXysHEso6|ZR+T2s{V+4VFq~QO9A>!pHk%WT~;@$y7`0evUp_EYHh^W=ZiFF=5Se#3^# zK%M>|p#Ea}p>G`6_%}N`gL*zba{IS#4Xx}+@`!yRSZB4N{7`!J40*Ex=5y&CPSvr3;m1=)X&kX=N`{LEZt?z~G$yzpA71z(I)CkwF z!MGmIbvmI2{KNduL{T!6z-ZN94c436+jAJyNuop17mVr6$b)dyG-l}1`O{c0hCur4 zRY1PwDGc&RdDx2b@FdYwf`2{7<~peQ+f}9_W82WLtRu3t;*xc&(g1w<@G>fZbfq}N z^a7>_Fx@~?1gr|sgMc0YbU&c`0No7eJfL%cZUS^8V3L4o089cfalpg??FFOhxhG$Xh+K3 zf+)(i9)J0DbG$3QW=u>Bq=#0yY`M`~av*8BZs(5eVAJ}$w`LM+?^sCZ+l*<-8mw^+uZ&5akpS|*$^W^zPo5Jd0pn%irpPe+xLHD?5~>9 zOjlldgB(CJT~FME*~jrK*vF4C`#1}XL>s|?mZDa;zwKxnY!li9Oh$}F17ag@8a&{D z2Vg?7ODci%Leoav*1YD$Ph8cF2mfV4GSGM1!&_T-EYBd!A`N;r+;L4IHJFv2hVXWEZ%NR$V8vz}JrOy7Rane0h5^~Z#dsdWrp-U-XEg8=Bvq@QLh zEhE{dX=_8s9`X4kP&UfEnnF8d{vpN^gt~GI-Va+Zy@|9WMD*Yeo&_i^aFa)hWNt&+Xqr+ybc$aIEf?gc;s5E(gy)^k1DCr8je$r61;|H)`u z3Eo)q@Ao7B9&H8vnPk#Lx!pzN;~Qp7yNV^CtF_0i)*$?I{rqa0-vT2z(thA`8^`Y0 z+!-(!qRS3^akqc4Jz-$*VIt?x6vN#U9WG$XwD$(qeROT?;_?+zsv&By#5zOa*02d| zTmR{8jsEUUQ+F=!y8F&sH|CJTCinvGu%ywjn)r%qn>jPjAaXr1w35~t*wGuhthQt) zTL0jsKa#0M9`I_F2fU1V0P-AfoVD)cc7I?VkisYT zUewd%(~g+mDO|j$H)u#oC-S7LvCx{O#TeoNt!Nz{LhFEezzXc!r{Nw2_IKCA{#kfC z^6h)z?L~oY>FLO&BQzC%!?tVijp~9ZSvr4-Z(m6hJu zI1=?DFDf$J-(|PtiYt;mdsoJS13S8+LtQNvdu!iH@JhPF;EGtKh~H-p43=HaL?k3y z;<2b?Pk00g=NJRxHiTY9RT5`tU|;`^GcEzS`J4$cwhzzxufx8+p@vo%PM=eZ&3ife z%}X5pBE{;|^ABKag1>wAjA%y~i%ckv)z7H`vpPmv_6_uubR41OjOXSKg(UbTqC50aBbj(c9G6A2V*# zOXZKl^4ow1@l5)$S~Jea)Rsip-4JjKkQ41ZF084YKb!>RavH78j(SVl1}&#zO);ex z@^QtIUNHDh_~4}P7up>_q2*775;ondbJ3tVoIw%-$2K~hj+Jc8;onQ zYoTVDkn3hk*~RT65b-;5UN5C78X&D@HoPc=Li?>ps0RAL!mZ_3@$3Pu_Lw zro2XH;Cy{!a3)>UZEV}NZEIrNwr$(Cor!JR$;5tQCr>m2+2iV)~isP$IU(ke7m(acT~&`_D>j*bb|#T)06> z#;8V0#wkDJ;2?4xQf33dzVUdVBIRVpvvEkl321P|i^7Zt%RiJX=&_VKI9z(gWdGUz zqo5wwUOI)+LA16BzCN^Q3T1CIbpoI}A`Jn^CA(hgCX{-vm@bq&%OKf4M3fXwb8u3G zH08hz9Brw+R(R}Zy!^Jhmm4~+{`V}?^ziWV(C~N}xc?~K_j1@%wae<0&h(NK>##6u z0>z))d{|&xm1i;^ z{p&h6-+%3QI{9{cz1>;+sdm2a_vN=(<0tE@DNZLinB+b`C@AdtwB5(ctsd(|J%5?O zt>5(6V{wRS);-+U{`({G&Ngdi1H;B)xy-mq_+A5f;hq>`xN-lk&Ty4DXJ^Zv^JM1l zL*ff~iO9y!Ge~~3Ow|vNH^-iCS__2HwTjlxv-XKm(S9lv*=^a=O5fFFj$zKITa~$l z1MQM{+uRZd@e?t3@(FnV-`j&!<`)&bklU@(D1*D7A;W%i8f5wO>+>>MiVO??5@b*AketpD766dCk4^q78rC+YTTv#mN>pg2EP@ppX= z9G>Br?Xm)w?b%UH@nOqdy6OZo&q?HZ%A$LGfXkZt5{f-;U!b^-m76o3UKsybdrw`a zxfREDM#nUQXw_b0n%;^5DzbXT%6mh7m`R z4^kN1s~0M}K*J`O8zw4Y5nPmIq8;S$Arl76@xf5fZCPAKw^Vt6o)Xd7B-jn+Mw;wY z%Egyae$LSpdJ}h>BAD=FxLBrk0E-aJ1`fTCB?jM+j$jFK5q1i_y1of8W%O}eoHj#W zmPCsN)1KIlU+G5q*0sBx#usM5<)HvSPXh{kHb-31Zb%xxUP{ihI{W4vJbIm^5Q#LY zx{TMz4|)<*I>{jFOu!TYH49A%=!1rq%$iIjG4a+hg|kRHu~f{}hVTpiJJN-7+TnNg zLH*y>q6j;SQ9Xf<+lw@eorC^G0 z0(+z)H5o84c%>t5BG+u|my;T9qR0$e4#GX1l0I=0MM!H^PS{dt`lbAsoPqEfo977h zoHS+%H8XOR_*W+#`buY*@I#x*0@wn8*(dJ_H~#RIW6Sq`6V@^}=DO;PigsM*bI>0m zIJ+1XM)TW43H_yf)n6bKDk7Xb@87uco)AI!qs6{U zpt8>(iUY72$|=$NSOua^p+Zj{0gA`HvAQGz=%4#TwOB3(!*Fc@eYRyDU=rH}r6Q|M z%s;FiiO@yxPSjheyf=p zy^kr=qmBdD!H{+ksRU!AOl}E2n)LC-0yzH`k$g;co*jT=6bzx(%1muWCP z2VGQ)46uBpRCbCaQ+pviSTxco4kfxg*?glp;<^v%- zJQ?-oi85hU#xtC~f2u`nk5f)HJTt0`-iW`_mY7K7zc^`uHX`lE%g6apoy6)uflLNT zB0I9PIC#XsetX(Y%^k!$r>8tCESin|Iord}#pNbNPCenXoS;&<>(A3Tt*e~>`doLW zg(g5bXEVmboX_qLjZPLzlgFLA;$N{ZgeUS7^tPch34bUBXs|!(jqyYH*T&8d&m7Im_%;0?`(A{(VTyq&H}%*+O*N527BU#BT?;g4_Tq#&9s{> zkqklo%Cm;>60}zk?K&Pfb=AT3mILWve;)@pkK0GlTLP^mha0nl>~>@wvCZ5+Q*#8A z;*oBu5J&^FRyErTNnL6}d$5^^^DTR|$bG5@g5aGT(vRy#>o~<122ip9!6X(GS2Fcg zkN@m7g)%4Ml1%yf-Vd|+&nDQ?-dbWKANM_S9Fh<_af48{C(MaSgy?zrBkZx5qes(D z?mNDZv ze*7fBO53qiHMnG~EbS4|FWZ>%aID>{XUFHUvI!j7={7Dci+$9?QhI+#0NS3J#u1I1 z0{Y>0)~>JV{`BJZAa9+_{d@Y8Y8B0)1os(;8Ts?s zowD^#HB4=R&Nx*>q@0M{V{9U!JPD(XW0IT z47-bwgoQG1s54=yvApj>;jP_;Va+h?k5b5b$Ns9+H!onKjn`Oc)YaF49eqBjb?gB( zUr;AqN73xNUxS{18~bL=6yV@6+a-c^=-#Ye5S%tLeAa7=7&d|W7#?$Pm#6-xAW~t# z!U^(Cz1LcQ`Ggs_uLBmAejHKaIR6EmD2t|_BGBXQLGFRgyb?0W6w=v1FcK=zK*e}w zg1_N)_ik8l363b%%6G|6vY!1nNh}Xh?>~!7yPnSdnW+KEGJN5eJ)uATxd%rsHm=jZ z1C82I%q!3c96T~|Mifw;78*4^Vnu^m6(()w0hVnQp=#@ar4CD-jkj>-^ymeFytSq{ z?UJ>vKPwO>+18upZ@8D)5>Vsy5MAq6P!J7c^yK8;s0)Ya2Z?{*@@NjbLWf4k0H?&^ z(qvLZc&&NlQ~KG_NCgkno$S7-dd6DceE#;}j=G+yMJ`;OkKZRP9Bi`ow2#W~w7@su za9EWpwxNe-s#!YiW?Cm*)QxWaZnpezgDX3@qRrK|o3ez@y|ll+x)ZTEa(gPR)nvMb z8RcZRYtOx~6bKB^P{vq-C1Y!@a(}Va%s)TV3fimB6CSO#V?kNz>tWCDc^h`x|J859vMs!k@@yUY zH|zJa!-c2T?4G!=Jf)h<1X>5Ps@p>N4jK!4#6)H2(WAn|^RAeMz!W5!q>^vou*v*0 zRql`7FPG#8A8@9OJ$qFu+a$XJu3A}(cHXsNWsrnsUgw@J=_)A|z z&M>z@J$Up**&W|8iyf}N5rz0&yZs{}Y>VJ6BuV>llVne2{G21!E!y7O&_0JMUmM?h zU%A-{*bv!T`m7c;)~+=CygE@S>Htqq9^y6~55L})%Cv(3W-K$+*p( z3E%Xd{Z+7Dh)<(f?(nvG^mX=q&|vqt04Jf4E4-4)w) zisOx7x;TN1wnkz?GWU!los_(WOfW)}be;lpk5`wyI6nQ?q>~J1Kmy7Bc9)HNc&C3E z`Gs)N)8&ml6Yt^?udteERn|iCqTdlbmsdn@9BD$nrt-S8+1Qq`MMj}mSDmqi|Hwz8 ztR02Jq7Z0ttztZ6X))i+L*J}jQJA{YRR&# zns%m?CXH*0HN2`ezg&GQ^h-8aBCRRS<)R2&V|cSe=8E}VNC!X%6RlnzaqGSY>G;H# zd>}-#K=fW&!x=@)2w?yI=GXv0@)S=FF&gUfaaeew(pk8Xu@% z?Tt#l0>>}zY{wMmZ5M=8g7ChS%EV~697{!RAbNs_J8tj+-lOXptE za!*o3Jx;bDw;*w^iRmeq8hP2Au<_{r;R;7v_)cAq`~{c>%Z$2~-vd+)wnX>9{&bzC zlhhU2iNo+RsTmrZhpFEp`sj!C?ZuH z1h`FWWR1S;qj?JHeW3A0%#s`_83q}#yWru70k?B+61EY;(RkjqR_vcQ>AzyaBTsL< zK0+)7XIkOD8*NB+_wL>22CXyMu{^l26iPX}fcVWa1)+=z?(H5Hr@#q9)`^6+{fZxF zpKH%Yno8FDR%Z+Ey&9CNiYvNd3#Ak#rE@7@vEwIanW-+6X*AHQbvcXr4pD;bxE;*~ zfLG)(eq*rc1DW{^dH&0OtK-)ijDi)f7p`Nph#Rf&Uud2ZE0&=G8Xvr6sx1J{XKVC6 z0|zdg^!7UWko7ZJ$hQ)992eUTutCmKt=@rfi8R3J&^sDJbZVZL712W0?; zK)h*(v+Jp<0D&LhZ(>$5O%i0tBDg?iX^27N{lBL8JnK-~jwrff*0H~)bl$%RxW`B) zkYnSMei6)f;Zr3!0bh;Q4_ZsOiyzGU*R$o0Z~LmNtPIxHG^?Ne7mMN{k8u}D%Wyd^ zfAPdK^c)JK^J3g9=)El4&gH{EtJjeyJ45WKVX2ARk?dKuGruYIPFl3TdAPPODEsBG z<3GoXIknN~ltun7Zf_$#fFDrQ1;}b3^Ir*Rr;WWHYW#w=LoiLiJ_vmUa!NHzMcj{b z7vEr@rD>;yPO8gn2BNiUqla+PzZvO_;qiZJum{C!)VU0qaRDd)DX)J3!tD?{;^0K@stx>uC%2%i^~N%!7m@zrTK4xJ8Tp!cTrVCTCXPxIu$~LPw|OTzP%4 z{hzF9Gv)r(>h$G@gCA@)>QKaDjns_QV1u?-n`y7qt(R@cVeU(&<^89BSjQJaKgfKeshrzRlusL0~5+RcN#p4!_Ws3T@FI^ zapXvK6snDfU5db~;!7Uhn2oU=#47#o`+Sl2#dgyxk#ubo7pVLj#aUu)Kw8G-^~xfT zP(JRUr_4cg*vc{*2W+X170d11GbO@t*?|4f#4kMDs+1+Z#HTU5u-OK^j0^};WuP(-mV1%JofP47t=mH zoW_mDpr?yWH5mef)fGdb>FN?oSqS}*Wqwk=<|PirX&hIL;r!;ep54AI&)&D`rmRY% z5USSrI={DR&;1H$Qiti4H%6`^bxQ1UdJG3E*^ZM<)HqVot0NLEJ%2a=GPG3@7NaP5Lc@o-8$tV!=6sPgXv_i_`-Chz==>tr<>O*nj=96 z%2u(9>-5Y6CPlZ_*~KhLU_)r*B8!_5s(NX#9;{&XYkaq`T3A_kQ&U&FWjX^dB3&iV z12pQNeGA@PJoVMfDK=2^$>&qb5PtDdw;RG%Vc7147h#@j>>=d6_@z!gK2WoU0|F

Z zbiFm#InsnU)(ppaGXh4#e~{N?QwKz&nPtV2u@j`Km*W>?pX0cUhXTJZefasl14M{; z>8uxwmH6{plG~!?-3sBoV$HnA$7PvLr}c1_-Yk@3Ny4y~Zm?@h<4Gr16Q~)|iIxp* zCsgdF7`j2vmlMP8k}dCLpLFaCedjFQHfc?f_LOZN2}K$P>)Bk$^E%hvY7J4co?Nk6 zy}U}591Ot9(5(I0|CA{uuFCL&wPeQ;PJV_(;Z9}RkeAO|CeA1bz`DV5;w0JOCSp-l z9tq2riG|QG&A)`sn(>?k;AJ$~s3cjih+Q<}1~Sc^S7xUo$8hiYmz=AZkAZ#VDK}$$ znl)*|MaW8O(_o6fHS)ISf*)?bF7tm4X4tm3{Pr6v^%7+=?`Oec7eYGqCrmSiqXAckD2$4bZcG1Mg0u4s!a7cEYajI)^y_V zA$zw6U!^{j@h-s|jQDz-?$r-XpNce9;aR6eK2x39a}2{sz)hbyYafN`j3>V+hAdE$ zTl#!wlMYhrBrRoSrr<+oj#|Vc7MWJ8sQNGy)Dl9IKYGA)3vrqb&2bO+=SW`s2x~}4 ztR58!crT*qU$fdLc#P&VR{T;NbtYIS_!RtpPo9*+_pjVW=~}$u{AGyTqFuW8L&tH+ zS|x;7^iTotBkE*ZD`NSP&ZlEpb#d2U%Gb!0L@;plqWQ<+_2%JZAwH3eC)Y*P#8R#K z+Ke7EQ-SwGs2&rO;5)I_&F^-``TN~NJEMgpokEA=+Xv%maK$Z^%@YQ+veF^#bJiO5 zL!L@0il5nvr7J79zAr1Bp1u%IQm0>2fc5TfV>8x^Rwb-;smD_*#*i+q!k=6+ff@r% z+|TfaqCfH#+lL1{r%*YRozz)Wn5KM}h%B4G#Dy~SSYZSGQAWwq0107t>2Qdc?Za7o zj}WhDujGjU9*4(l$OJ636}Ym9!Pj@f2cLx;2;b~IjuCh$Fm`Jrl!DGgDRNhJMT1d0 z)qt^c;3ZAzY^?8Xh?IIxYECYX#ShHGRIvyc&^v@bDf z)MM|m28K=n1=d4Ot3Q#-T>eHweT|yYG5V;@c|9_Ht;Pj3m%}Gur!XG&tR}Uf4K5(3 zZ6MDuA_$8aQjR5N=3H67+{BG!pBS{gD1{$|G%mj1jCgZoIpADe+(eXdkd+Mno*(*j z7zIE$Db2`zK%a%&M{`-=q`Tyq!?+n&g2z9wmJ*h+(yNTal*S-;Sy-00iM?t+xYH~0 z_=Fj&(byGP=p+uf7HO6lzpfA&NesqV4r^v@-8|+xzoVETZ2nW7a;2^3=^hVl(*t$z z7GsMYXFV6i(jttiavx4p)5gejemD{Pk}B~29STq_fO9^rLL=M=h=i4M7?5pj(bt$i zi~VgrL|2BRD+$e3KQJMg;OXIBY{O2oM9|eTkCuSRuz@TeeoTpY;$AdAtm?~oJM|(7 zzV|e#+tHJpPB;|DEuCq4s|^qt=aW%K_USi&bD=SXg{zIar%1_*^^(6I2^o6k)f>`V ze9RG=r34DL0{>zSnLGiDSVThmsY9mXeGrY*QN;}GX{tts}9pcQP^o-e$=Blu!W z!4eKhrjGhkd9(2?t_4BnSyTeX4x5Lz;!a`uIB;!4YIJZ8U_A85{+y1{W-UR(V7Od! zcJzXi`rT5iMw03Lp`^8n=~}`u4~+bNqwebQAudyA`=H3*!=T3<64ES7zG!Q2!t}50 zK(Og0esdly2iL_sD62*<72HuNw?EKH4l}; z^3B>zqbgv7iPXWYs{6Z~&4QJwA>iHOqv0i@*h+B;#H;6!)9T6Nvkt?Zi`(imoE+sb zVP+IlS_VP%Cchy!=^6-goh;rRCb^Vwtu5K*HN2~Aonn0Jlbq!;TMRoyz}6{ia59!tzth@KFWB^Ei|@yIao|m zh-a>k)Q;H&q5fc#CAu|C;ezP6wQt1nSgYXWIhZSn?soZe~;&cCnLHpJ}` z!}+jYS*#ht9o;JYJy4L)$mC~^FkXY0+Ntl?r@(&C z{nhQq8N=P(L3e9ko%!tzK3*TC)(kd|-)@>>%jw;OfA{WcXf4-muC|dOI($M$l2cP9 zUzvhg$tI383b@lYE|>#i@-K{dGP^bn3eLV6 zHdXw#B`ZF5b59OIh!Dtm{bM&R)>s|BA zECy>U__7V)DX&NGChN!e>Ov)v+q|h`-o!QOagm9mS}=>_ecu{F`v)Oag)rEkY!b>Z z_E6%>Ik&l&FC5}|D_m>Dm|7uJ6B7$VDs*y>t4;lkT9ymNB8^086X>Bd8;W+?-7#*a z=Vo;<^JCL5?fLL$nF34C9j4AMwY>}|MaYZy=mAZyRjK0-aw7J{>{p?J;~FS6x!gU5ajxCQNQ!UpxCYy zZ3JFanhyVH_+{GssJ z2t4ei*NPr4p9B$by(R-l_EyGjhTI}a%3+l=>KfLk~cN><`9NT3YEo^NO$t!r_vN$slrWTb)?uN~j<)r4|KMVmZ z706I~jw7+FW5X+=?V>x{PKGbM_GKoOHXQjjxMqHy0hUe&%6^OMjCvdGUh_GAW>iJf zmUPoNJF1N4xw&x)#McwhreQXbh?4Tq^v?AbbmDV0@3bW=+27_57p@ubDN?0N-g2HJ>0LNZ-n~f3PXL< zh(*FM7gS+8_xB=<{9IcFJUH{kzSBUKf)qdT4mc49QABROXej>J@GKmMJ%!xxAlBGJ zbrgW#lTY2DkPI5*5n+o!3S&0#V`HQrwb*!TgVgiGYc4yj54Qoey`Z?14jIoF*M$&` z%WMi)!st?OnO-3L0bPkA7k%1ttxS1VTtTSbi!}M zGS2nF>az_TdJOf*t2cLQ{RWmf69{7GZ}d32{gC*~I(LM)CmiU#26`zT%7h0Wl3N!= zQlo~Z+IaJ=3WFyV5FV-!HNr>l2H`D3LvXmqdJ97dh1NePkK{xLLO4-s6<{(~Hq?>V z%ihX4Bu%4QTk+uB!7bRa@(?d6(BT zURt;I_`H0(`8T}p>&zWF1tV9LRruizEV;f`4aG4w79!R+tix9Ujjw}9(?xUmkTX9M zob4pFc-POYd%F#G2KxS5!K`&$4JRHZ{@^n+(AKi@ikVEjd-WaIroZg3RQk6v_lhSL z)G$~v*!tZNaxwIe^o8#H$x*j7H=~>lj(cF=@sq_9FVQQ~*WaZqYz9J4^_;QF|72;~wx5bhy1 zJ3Ye-{7%}3dPrD?CP7N7n8cghwqlLbnKQ*|eIriTnRFZ!0bBMP&hq*6&p%Va8_bx* zKX$5%ulu~33DT>`9cQroKhfF$*QNwQU5^82tMBp^IC@V^LyVI@!eH z-M7!}EH;(o+_B5U{|n#^V)GyB=OSd}*SIsXtW;cVS8OBc+nkc@7y9ryf2y1z>MR6S zmH3gB;6*d)|M*ME?Pg zn(9Py<`kmMy2?9M{{vRbu{G5JJyR-(n<%xc(6(gh@21Gq!8IwQ&&WBS1<;fr$Ud~L0Ap+CH2B`x{FT!DjX`CtQ zd&4--!~ips%OvZe@wmy7kij5y{E*vW(-#Jt3*vg+6no^J>0DT0=oQxc8SAYFk7(Ld z@H?x38Puut>Z@?tTuC>xMcv+H6F%Kjw*$@NFle}fdpS>yHZ<@7OaJ) z7zR>uhN`QW;W3m9k0BjQ!&{{ZPF51bf-zc^qvN34%ko!wDD(Y?f8h81x4_(z`(6Ke zpCm9RvHQV8K?2{vmc(EbQ-NpgWxGdb(G=3sf)xzmke zK`!<&l;@zR$2KXH67K*+>!wf!#A{n)!g6uBxf640v04z{Woyz+t5?8uXdw86abKgL z&n7YlE9iDNOQtQW=EPr50yXf>kspni(0E?Km{8d^(9C0|98mFN zfZ>u5V)Qvg@Udb(kE zlb3qi>e^(%_fEWWsY7+EC*r6}c+Za~)NSRxMG_g7s-8lpL_C9;0ZBt<8hErGMng0- znyn}HOoHRQQsPnZ)Ge+jr*f-1)Db4&kPuq~W)R<`3<`e`ZX*4YI&HD3q-Lt$`g6XW zv*@ySl_F(tsbdV~BY3v*orlK|q#g0Sp?+xIBq0|Ln8P1RSdjp;jDXZT3&vk-Qjy@< z<-5`2Sb3)Y9qy73A9ap4SK>cMhLMMMu@-z=m*i7lr%2ubs*@a5Z(&DVdu>S2ZdRzr z>bVa8DS)w7*@Q(k0WZ&LF}KjxE6ibeSJau;owWXS6~yr(ERNd z5&>`h;{Fzv=(Xb3dc(3Ifrzv=!wqa`V+PlvjYQO|8G8eH?gyDgch!RlrXDiGE`3~V z0Mpp}$T~IQ{tkk^gUJht40*%X>p6|y_`JTkZu=9iDUiBMAL)?(%iv%8Y z1?az-y;3H?MGRa={miL#D6q?eC3Uz8CEB<&^`Bt@T$EMY79;!65L3K0J1d^2IoO_q zF!|}#N?yZ;be;)s6%aXd7l&Tdnj;wM;~`M7tb zalI^@YxXNp+4OE@SaP###@v}37sN2@aOp)3YA{}ueb>+TA!_YwaXlyTgoGE0vtv;# zq{S=s$a2zK{EF1}7APOyOgTW%uitR#{&~7^U}<0bT4*yw?aqHjWp(`541O_Z;6p&d zFE}g7-S8f-@98k)1-1U(-TTr{t8e6WCLkdw;;}8*^OVLggSG-Jv_mL2it{z0j+EjN~kfQ`x#*P zvVW+PzR#b)T%{+d693ow5A*TVJygUSA@wzGe-ui}tKvH>%uW%E60YL{S2I|uw98)Pw~+Eid{p9SsG49MS@U2B93#gRYB zf35#|f978`vlq58&8xvEQ+Ufqqh96uRb}bhMm1+edr9iPCv;3035yU)a59h+| z)KzIti5<62)=EJ>0`Nixq6rMl4mH*>;u>!I2V{j!>Q!j_v+mk4{MN^FY+jBYuV)~j z=fIm8X9GXB?zKg-EQOOBHy+C&iX1ch-Ln34W4S;ToE_mE z5{bW{r1RQA($^r{s8nd9lDTrF&eu&dMnj3(PL?48Y1?|5+uEjnT-Q{b-MTynSL{`M zP%8WvE?!xCu;OZVJJzlIMS(Rf%SucHAHObj?T~R*!oKe#1D9_o>4x=^Z9GRO*_5`i z%C;kIo6QRcTc@_!%1VS~!M+qf+_Sr^DprC0($&1@U+e9LjTK+D2E(eAYisfyx$9@o z`gvnXAP|qQU)+pkd(@OuO1xn{cztP!3Z5q1gTs>Fx*7NJLLgz1E5tu z0k>!!TeEb*qAgm{y;R;AQf_enukoO5%`(KA#7?w#*_yTzeyF=`*nG{Jux(hLKe=(3 zEgKAHzA2M3X@T>^Z2U$Hsec7D4UzrDgaf*Duz<^Ej57x8XDK!lG~s>8jC&5sB?=M*lT;cH56swfL+oT3%i7*JdmrnJbd@Gh!8dfgIuD^i zw1Joii#Pn5IQ~z-?tPPuyKmYA7rM!?$x9PEai62)o-TaXkp0rmXexX=Dc%Q2G(~}N zt2O~7Qq-Cdoq)d8^7g3w=NwBm${kI!?xO>qov2I~6?Rvogy=8r>b1=$21{o?>2@Yd z<~H2~C=z5m__8WpxM4d=chaS)5SV|xk?d_KhEYQ4>$o?XB&_)Aweuilx+u$|PKe>E zPVBe@NXl7yp(+pQ5MH2O3|wEN$n_|HtZs%N4NBHgV`+3C+{Bj&0zsoyTtYt%CPRf5a`nw7YUk1Nn#FQUoA&8BvASE zkdgXf;A=F43@p5hp=?k&bKQLD3u~LhnsHldjBh02&iYGvqp^tWh?@Ni#jvfLkE1H| z1QbOlS2G|m%$1M};N@rg5kN!88w~1{cnTqL$3hcO`6aNNxLPIK#@YxEjE4*dj(FMVRlmKGGtx8wA%F|#38IpXG!y8XC+>JJC#oCJu%)M z((8AVGEncCh6MMm%{@5HA(?QL$WmX&De=LVP11ES5Od}sSooiA@G6hnD%6u`A~;Ax zx4!C?jGEwj8uxZ|dB7%$JdXUTX4G*=tQ8|;2^(c=_Apl8IuWZ7zF3Exk_|rZ(Dp~1 zsYZ6d82-2_!HjmwzTFC^9s!NTW~d|JSGENlw>h(jpIE~_wHv3Qh=a9HFZh&sFp7_7 z(V#2HOs529K@L_?26|daX&T$*b9~#hjLT1+o*;RuwvVV^yfQj5Hd{Iz(&l63mI@Us zN0-^FHP7Yct6j>voRos%896dl7E3a;m(wzI7jm)*3JZyHvI@o2Lw&0pn>%SF=%O~7 zcnMf1>XbEXDJ>WpQubsVW>gfE)D{Ye6~}2UswoTJ%6Q^clji1>A$c>waz2I&RY_>hu;L5*t!x!lE9ue`r=@2kkYX04!C$5dSjZ9SLwsuJG~r!2Z_)orrQF`g zYt%Bj{LD6A%7~%zgO7R_{Imuf{s8|DaUu@n0KNurkW30A3lqH^ygz{8_eT+<3VcTY z3xGftCbQO?Ck~Vce6WJv7eoJL3Q5}VbLbHil!Uqb z4(%cjUW|b`{GT3DJ9t+&`EmkGxEPiM0_C5O4{=hK!!dVL28=Zxnu@gOag@xpF%DDq z2Z&~L*|#Vb*L)0=7jyn2{uaSyX;1P%>fSU}wr~WJ6`9<8DI}_I(L}NYb21y!)@d=e zECw=#la=x`eTfC~tkl`Vz#M(K0jWDuuN0<75TE2aO1r7WDe@C;b3&hpTuCtp&_fb!_rr|B#}K#(iM&{m1+s0U{{sJ$vu?S#erB1WsdNMC3i4} zRk;woHNBzWDA(izZ;+GU%*TVUZ+pQ;NN@b$U;DvDpr2--L2Q5`s5f|!AXq>U6p$JG zvk~O0J~#*)@C^eb2LG%A{YDP{rUE!sQo)%A57GnfA>W`uzMO}Ik$_d8Uj##q;GeA^ zLAU@Tus3?pZ>*s~cwi93TN2pUBFO#5z?pFA+akzUT<|v*z=>)nEzq3%5p{?d>{WS)7(SXEWDp9d2l`Dg^eq55 z0D0>K|9S-pVg!5>0J%ZFu7kh1AB#og*I|fAlVJX&dW4n)2MGX_z&}?&gO~u!AfJ@M zL9BovRG<^c=RL?5c_ro6Tl!hutFAS*S2*xi@uMFo4E81t@(nXY zjQrLLD$M7<4H^UqFamu$0Qp=C{>A`&V@ctI2Eo&k{GIj;?i1ww_o4v*@*XMzeRBi- zW*8F21~Nl`Y6J&C0~(<|sX@MVhJtXV0x37(AWn!x{eDrxfPIn%2XO$DKt9v{8(=5s z7u%39F_0PZ^BVMiVZX@Ptp1_x0C{^cVJr3Gxj(^bHI6h5{at#6gHX+K58CrWEsctD{IULD$(q#smvP1Fu2;-O?Zk za1iE=8}y4X_?raq4FL>7dRqkh!e6zGzU7paF{lcy##g6Vla^HDt}!3_$1XS6m+H_U z22cy^Yd$zAiuucvx*rG){-yFCBtOWv|Ii>D@E+4XHWN;8C-~j6F0Q__E zf0Kg={1)9q{iCKZ;<##HB24p|VqKIjt6QErG;|^4W8veY%G#E9bpIyRrowN#*J@y`4YWbT#2jagdAF1ShL9-KE08)X%dIUmpp z8+>`Zt8_i={=)OmFs)B`d3i~&W^&RL+#JtayFjRGD@AS2Ghq&NsS{x!8#|g1u?px% zUkui`PT^}#Mv1GNf}_7fmLMYuKdpZW9-+nTkdBD&QAuFZ7G+?nZ|v;Je@WQSy|Mrk zg95@J5%WXP4^<<(Hm9S^1_{P7tRbp|)VL zdRqq6E(4Pt+eqRHx2j1XWtwII2|y4~lw?&>Lx?$ScoJd zq2yv=`DEo{x`IS830f;%I!H>+30@g$7_^ug8XAWvEbD|cV2)fo86hF@xn@utbs_%c zrKOefHSW+*kNGwT2ngl{SLXdQ6Xmoh?xY81kV|n=5>tD}2FH_0*ec=j7p0R~+(0D` zxxQ+evSpanv{)Htyt2qA6fl>m7(CV0d9?iJO4dtBGYTj&M~ZVfGl~g8ZW)Vm^#?1w zG}WA_iF`^Ah5U8s64p+ho_s@&%ox}l2*$XC*CSRUWx9+C>tc0;@C=^oT_&v>`BgG> zshaz7b7BjR0rkun7~GL5*Bt!gX$I=C*n`>21cEQ({ql2qfheDNK7>+sV>C`fO!bOfs&;3m%L%GGq()1__A;*N zKAS#>}rLTOQ`B5Z6SaCUelq*PIfJc`ex#YO)W#B1`(by zLgS@X@vRamfM=e&JW8lG%R2l>;ieE%9iapZ#5$G_egoSf!OU%{P|+48jFJWmtgZ=Z z!NR0eK|vcQb?(~Y9DPZrFBK@wCyW#=YnHmBgo7CUqaq8Eh5{0J4;haJN;@nQ(i(~Z zqoSECrV^$K<4O|;g#0Ii3KzQsK42_D1GWg5M}|z2Lzqa=)3@x>)#KrIrcYKv;=17B zePdL2~54gkxc25vlZp~caB1#JYEn|sIZB$VTfagLcalS^5C)7J zQqw=Uweb)CxI*_Ru~28@b)B-QHsHX+ej2=s-hDOrBN5OEW`^bnMTR-q+BVrJzLILG z)wtH|&}N~z+Q`X~c=^bOU90MF%t~>aNA#+bl47!%ukhCWA($bGLBID_6rhT%h56^} zGUp-wXGj3`jcW$B+*}9tw{*D$4I0zt&UQ8Ahd(+DV%f9nnh4z5#u^Po`N*sePsHa! zW~X-*3IoY;+u^yYy?V{=%7H)9yu_B#!AXW#0u$#-w3_ss&UO3Y^_NjVFHO^o#XwcybE zz{IOC>=cf+Cb=~RvfR$Iti%2$---3i={#OzN;;x6$C~t~pn$Npp~1cw2YNQarme*D znPmjd_8abC7f?M=7Jj!L&c8HR!sI9QwQs&Pa;MUnb-Zyw2Xr${sxY=+wqx-0&79Qc4WNE$j=kCMV6~ z*8G)z3@(~Z6ei=1s7h9ecR{mqztiu)A# zIdGokkxB~w91Tvk#vU;!ZB*`k4z?10tVQ|B1=@0mS%s>!1iLB=CFN;!urp^R5xAnkjlYNglcJf0ViV`I6m(RGGxAJog14$XA>wRD;lO(#e{VxW1-UrwxzxD?H_W6m zPxqQ!`Z@dFZk@R*`l=)at@OqbEMd~lLow86ai=;I>zHVu*@3x+ZU&rK%`^39%#Viz zMHn}IH~nChTUGkga@A!q;N{5+#G)*2P5Nu_jdE7lfj}o-$1)K_ZjJrKeh<P7sS z{~hn#f{>EbA}g1IBMqS$dye?!CR!eAy5f?a<&hSC-}W;w{)>%2U2&af?mLI0BV^2T zND1iswim-m>&>Z#`dO;|Ka72Ia3(?Y?e1o?v2EKnHnwfswzIKqn_q0(&c?R$#b5CD zr+V-G@v2_c%+#&!+dVzgw|eTH>N$tq;rD(Jk6*Eff-2HGtV;@v1hY{8*ihl`&*c29 za06DOS3)K#QW`Nx2}4zKASTNBGD;J`+Y^x#u4D0b2T|iz|1rOp3oFX5v@DI;kis0O zv>|Z6lhvcCEbz6261US;d)nDsNz9m4fvCoUwv?rcIwyk2_)}&R1GD=I=DeberoJD9 zDG=A}fJ>TgWJ8`phYA7Nz(VpP9lo3hF8;*g68x8Q&!ADP#q3LwoMZs0a2<>0R7d!= z4B~kLgiFtfdLgkFGv6F18scB>TvNs~2y<>$a+(}`oI#hHa}1_ztnuh@%zqfvX}#rK z^t~0cv-=la>MIj8Yb_*VX>p0U$b2Ye}{1r!^tjAGW;gvjkPd1*TM2i1ofY(hU42XcKeso>Lz6wpnBBo;|Yklp3XY4Z8J=dj3^QiJ86E0Rp8*d>62-;AeR?>E3Cg zkcpel#Ra_}U`&*nlJ&StaqQIqJ8AI*FqKr94q;g~?RCnO&@{acwhC_0RaBbZyUe$j z#c%lGMsb2RRen$Okr0z(>R?|oToPY4?H8>9agbMPUk;tbAJac)snErX)ePXlpV5CY z>rU`I;;%oLigl^_*}mV1_TJz(6?y%=c9TIzJ|&VdBxjOTg;K2q2W&noH?bRMF|cWx zV=LHmK0gwAw^2k>MskMtO)w%FmWG$arxPcHkcN&=iI6AQvjl<9Z~hn_9)8vmvS|=x>8cN(=hcm1K*>G0+_wGyp%bX%oHgJ_wr^9*DT5E}3w{F?M z_4!6^)|Op&8KiA6YT#xv@6xt=Tq?H)y5jv@1=77C{>iMSMYe8xQr-8B#L0`NX7()M z>pOcO+&%40ZB&*fx2}#>&cbtai|I|D`gxy*H|)8K>7rL+JYAF0ToqYqc5m9B7w}yO z_}lfd7c#!bt-f`?Po33w`{slR$au)RI4I69O)R|i>)ZGO_m6i)lX_m*s(W1AI(J>| zyo8r)fm3f_rR6mp2;{oCx1iz1RvhV*TI~2QY(4a+q|US$qaqSg0_>*nn$^m>39f&X z?($!aj-9>M$7SV9cUG)GJEhN3eEl^|c5h10 zc2UqK3&^7tq1^aR9lov|*va^^;^QgJ0Q{r7F*Zo_!DP9EDRy0yn+b`E3L0MNYR8RN8iLg-!=+8A&C@6v|X}POk4V z*DMrEBn+*G{_igp>mT;lHSpyo%ySM9L`#L!P|=lFaxBRaBC?8o`C~+|C`eoqIK!~h zc%`eb_}t9yr@I9S!iB%Y;jr+zG%(m`iRDqSxNIzPSIT~pXS(i`Me$d86kUWPbw8!I z;L)IVxqKme%tD(2)*aD)c6^Hq92PVf+M$@AG%y=7Acsy9j8TW0GTUw1SN);-<@UV? z`g0f=UMvC@fp8qXAb@DSm_?O{5XvzP-`tsBuI?(Q<&NnDV>R>h<*?6kb-FW7t|wK~ zS^_bL-{t)r{5kWCfp3k7dWcL3oVA+H^C`HCWA?h2c`^;SR9>b*>V0bJiCI{`uH zPQmE0vR9LZNpV@^s-t-xZEfwHC4CWXuX$S9*1Of|zHVi#eXPUjl&oWO*KfTBcUxE^!Bg5AFEq4(JmLUnIlrY3^}dNAc(AO|MQ{B z@qd)hT-!`U&nmMx*Qdfrkvx0GuG(T6OJ@g9BXsgrO0=)_HWPK?_ZU_)JzAdSZfB0t z1Fg07DXVUu9l=bxISEZyWvZgh5XE7c;$QbIz9Al~hbVDAJzr@lqv*f3sPlf8egNm& zpSJR3Z`kaXlKX(Odb0w_O>FNvJ7mVWoSv>0n~}Q+YLrpbBio!R0v^E%w?6w@IQlSh z3OI%+@qOeD!COBMGwH#F9j_3qyhD7E)0EpUL;a%ky3i^~2+oAQQ z!y8?g<*jsN7y<jGuo4Zkx8%?z7gYdGBh;{~bzw5OApoKes# z7V^&n_;4BPy#Q6rw(L#K)owlkC+7{N%HIW~pUpCvw^ix%^CV+e8&`T4x3EwYb)N5E=Ye{g z`AC;gPa9`FzW3`56&ub^MYv-e!_nk_GxV%mO$7f%#O|ae+^B&g6{bRWG>CE1o zT(m3c7WXwqfYs!a2`G6ZF@TXTX~qs(682J96`;xlGs5@M{+A{Cli_=L@&O#tq_wbw z$$kcB9URfQ02c}gE}(h^f)^pUZlg|5=YtcC*SG{Aq;=2$`Ht{=PWL5&yRb9}LOz;Jl!Z$7b}Zy!7kZhz&zt>tuoZgKw>ijX!g z+B{39XEAm8?z*1EpwsoR8NGM};jiH-jmw|+W;xu)-(B7Nb>4HTgL3RH?$rPG+u*$8 z#C*b*;cA2NKIOeXi~!i14SH##Pmw-##30=wzF85Kp^e0387nRCw!vFu*2j1FG;TkB z9btHK?N014nb|W8&i(r^pMsF9CgX7ql+m8Om;!vreH<)~*WSy0mi3&gyh=ZKdn&sj z!1ubie+G4ol7F?$bo`UA8atfzr+Yn(>04_Dv$OH>>{D=bY~3s}?#S{|VWo}@xfVd` z1c5r$^R|Io$F{MCASHy^^DTgW0x`GoS+(UIAcy~Nx3{>I-_;uw-4Pkzr2f^^;Rk2I z0m_z@{?#(jq-I8@oUB149Ava$VgY;?(&znr#6TQ^A};JVSW37?1C# zN0GrFji2q#Bk7_zk*qhF96!6B=5e56@aX($V_C1Q_`fu+7PiHK@YOJSe-$Y(SzdA~ zCZBXKUq5p3Mw@Q98%6R)LrQv^okr15vO25O(A+@xp`qVHy9Y>GC8t#v)1E%c&qr8B zy>iPTtUt12-w_hyYi=Nk4K~6fP5#7~aK!Aj zVfE)9f4%UeLiguA!+&4r`C0ESIMcoMl2zlY@b}3Jh=X>e{HMqNB2U??Ht+VdFGE09 z+TS>jvoeeE?1O80;#xm0U2_qjH;?&vhkX~j{>3s`6;1p{E{(^NMtS|Bl(hV?I`4$* z#8hmv1k@PJ;dq9t=kHwd)ImM-xLPKfD;00#o1ykJKq(EF9J8 z;64DJZO*DO!3_7bU3nQKG>|h4HyN!3nfPr@!YBFj_KePWvz0nklpQ;7`@)1wKFb1p zzd!)Dw^pV2KLI@$X4ZZ`rF5e4Yz^mC~={fsZmK%<@k-p1YtAwvsIl-$*Q1dnS zL3q)|)hCwj_CL_Uaq&$O-8Jo){nY0XCac_{((5lXLkYjfD(+`<;sjk;@1>F5#RvY^ zp3vN9F4dJcJY{P6h_r|7$x5Zx;tI{j#0@&9B`-wX_+MY<}@N zTGthZj5od;@lc_VSO=SdB~7=_5xQ1(m++melV{WQKYVsDPG&k@4mCv(dhR{oD>)1R z760%F6zg6Qe~<;e-&yrLayGJjCA-6QMY_&M zQlH*q>K)2=0M zfqG$@e2C522WP;{n82bGZ+dGeVJZP}BOLYb48GK^{&^o+z(cLP2aCzu}IeYlKlnT|nW%s>+!-ITTC-FY@)#hLc&&6)oOEaTVH`_qsB>l+HhxHk%4U5kFyj9TY>3 zU;Ib^_x~)J=c^WYLjDr)`;U~$nWbHfsFMx6K zMlj|T0=%6rZ=vph@z}VcwUed|KuV*o$%Y0sLjwbo<3$+HXtCzgmOJlE+1<5X|&aemp9~;O?+=(zNgE7{(+NjFwa_?3O zPR|SoAmH8k{2*Q@O;fzx#hj-}wfFJ0Zgv#CV1D8MappI@bxXbI^+6EaO3Uy2e3N!e zF5lG6$uTwS?=Rf8FaZ6VWVrGc5N@z&=0>BB3o{TKI+)&cI;D| z>uYy=bF(_>_wYcM>UVRvEDd%{RzS6(kqN6sHuoGF#?zkQ(BW9n2uc0`L}oZw2ApTS zxn4l6;B6b+D{Q=}C|oiUwkB+#=teY)Wd=)wuD`!})|Xw5ZCWeLB9dV+Dk&ZBAH!cn zFiqI3_4w{cL7vdBLe0O>vblJE`rW7g(At_>7#bsNaXENL9(kJpkBdvAn6A^MzLhV& zd9j;D0i{)QeQv%;y=85Q@oI&+*YXV;(ii!R)!I+YfN}E}@u@K&y9ikAb*Kn*YM%pp zWZ9XkM1-$kz3Zx3O?ZrW3wQv9S{cHIrcn_@K&g^jJX`VBCyG{iFFfC8wW>+;>-Y+k zN!Mt1J@|TkOwRT*ApaE)DEj)Pmto!Q-nYxku}N-of}@s2pc|v{&M}#xhLZim&Be*$ z5rDB)J5D5fT=&Dbn*TIxT%?z2+$%Q`*eKU%QmCbp;5)vbA|H#x#uv$A&GyB8CT{eR z86*9~_m4=vgXZBh?lajHoMYBO(jAl5rKf9HOZjbl3ZV?8r*7)Kvpzx+>@Tn4?;)ft zLzE;+1qsA#R?x3%l33qTI4ao@YzaaNNxPwFB9b|$HrOg60gv6kQ*%pWh(8M%m5Oc4 zg_?Izx3Pk(mhJJ>R!uuL0S2NM%z+D$rzOe8SuHp08BF$~uO$!4zW@lE6BqQ^Ra@O< zX2TKPzr>>3A3xjcywy{H*fkQpE_7dw1;Y1d#O^gcDP^c}T4T?(7!z5ibN949v5ozQ zw-7u*pk6P#AW4mz&(tHrdU9II!zcHjW5?ht$`@7G>OKkeAw zc!R#D(rnaBSQtxA7a}cEiy2gvbRF8kL%2|w(KSo!`g)@1>NDiDZ7no37cJja!pGu7 zkU#xMuQ`L)?7q|9!)7|qc&8^mMwRuX2M?-fFO-T4G!I^KFNgacTuT*pUF|!+aVtZN zao`F_Tq_1C7icc9l73|ARNfrHQFk2^sQx8YdIJ@A!u;)9e=e{O4%*bt#M#Bk)X?@n zO?x9NIA{)LWe{vE@UCibTl=YN7fk%xC|%WUoCPS4UddAfRI5A=Ok z%!D|prI*f%Sl-s7cQPBrn8tG5bF^>DHX0XsF&SDFNubk1Ox6n)(*Tyuwo{VgIG44^ zwk_AxB(@#HUdSq8#-K7lpIK}S({PEn=RZ*BJKFcVfb#&-mu01cp7Dm%9=%&q+oFhGv3K_nAmy7rb%}SFX_27Hd!aLR+ zG|i5Vtgdo%E5+(eb!eyRWDjMVBe&(Q%5fRhwaRvb_;!Q$Mkl%$@FeGUHQ5V;bLf|I z9x*{pC_4XM_cCesyK>1FqC)g94Aj3aSjtLA#!}c?aY%LQP!G{k_ol?TBtkn>pG-BT zapi|Smf)$Hf~d$UyiJUBD~Tk@ullEY`vqjI{kKd+z)^S27te>$5#GzIWi5^qhnBto z(EN2wZd{%tX7|L-*Ebt@b+!LT{ILFi#Rv-rGb{Ul2MiM-6C(!~8~gtqG?%_GJ}S%2 z&+8}Io}?M$GUOR1iD2V0a@!Opi89E{jHF2vfwyBYQvqWkGK7Re@#Qaqg~)wSg#6|E zDB5)Twjf93reD@<&F88Nic5O7%{Bi--O+y5tQfJqu57cQ{3x{b_oM4>ZA|~tvaaiX zvc6i)Fd6&yJpj|>hle$Fl{We3U4Ls}GW$0R`>Kj;RW>T$S1m$O-EZ#&YiM+?&NwZP z-zzA=Z*^H(*Yw)R9y`;;uLrccuF{%aMOlm0zcu*=ev)0OyJ>rFM|)<)XzYAQcc$t- z{pF8>$}fWKYqMXQs7ljw+_G7+SNxXAaD8b3^zAu5!T|I@Y3NH*V9U&w>PMZS%apn5 zt#r$MB^iBR^dC8HOR3t}kQ3|SL)s08H#mPxYp%JNAZ99K^2dAAX1F-Dn*=$|4-0OJ zGi^0gUNykyE)9c8ZbKVo8|$;zAXFloF;_SBtV>IAg>_sg+dj0DiNrzI;dP-)eE_M zL-t7CwtvHbR_brM&oSs!0FxS5A`p1*?Ax{(Aln9=8G7&7<6Mw&6D0k~#Rs=2kol6- zhK?VIR?x%nmL4_$xrg9>_Y!6Lw*+47@7?fH9nio-`62% zAh@9;?ZG;}Z9nkg7{I;8PydoXJN+`+H=E<(l`(`?P9Jz^Mb3k7)eCnEMQ%&=#y0u< z66#+)tb=|fdPAz}owrBZ?86Jz`9w6yTeVls=Y150Rd|*4^C6=Z*NL+b$lF<6V=!5R z`TaHa3GF@0OA$)-U)`dXGX66$65FI+?n1AH|KmRKZ)oX01t14{sFZkG9k5K8t+@a2 zF?>@e+vAt$ne&DGH=O@!XZR+bcuUM1()MnBpZeJd0`{haKo~;oI}V`_`JRlM_Y$ag z{}O=Q+i0nv3ZUC5?dt1~q5i=UTe8|Odh+JL0roM(Ue4-Jal3$}|LNJ5{AI84Y`gyD zPP!~o{$A=MYqIMc^Cd;f`<-1CmQ?T5Pe%edH&8eH_fTxzxVhW%Nn301ON*`5(?G4g zvYth-Ze@!3Iiep-TJFW*2lH*ar7FimdF06JdcJshsO?Va2cvHaD@4Jk(DR;-Qig;7 z&bRx}>(O4Gy$paeY}{bc$+2#^pW?nip!kfW{}IdUf4=zte$xM#ga0uXdvWe$Zz+in zXr2!83489`fB(Nc|Etjl0@?R|k_ANSZ^$uJ0}H$&MsIcx9t4Y_2BOm;nfOXXI3`WW z1FY$A{2IS#4B4tfw-~>H_GGQoTyq}9E>cyL&z*~ks?qpTX9k#G`NU-Cm-Tc z))>|PMEgM-FrOv8NcAY$V}5|QV7+s!!}sx3X*-LE_X77MmLNpMbK(6ug|=5?nJs0a za27r8@%0PyX7uIl4nQw8@9g1X7i#%C+l<;h#s8) zKb2M^lZciXQiZJYPD7S9hN+;j6QQ?~8o|54 zevtS06HDJ-kdrmTZK6+6lbyc3VJE-Zm<5)7@zk(>`@~LO{4qBx#Ki+i67+c_O;Csd zpbgJLq6z*RoA2M9{5d?22dQsoSJ90ywQxJg z2?NaH2IBshCOr6Cf_;Hc@ONSau(s6)x4Ld0+0}E^w3;rL;rkg=X&#>|X>|RD)BR8w z-Ws4*CJxT=jAbTYmEUo6$652TY6{x*eS>9fg>rUDl5}JgZYcQcQPC`j^?BwOQ73879u09ST?~7Bp*<5^QXbcBB|( zvu8XCtm`=s|_BkiBZ>+}YgRCYh?MNa6SN?{6eUbI77_8lvg~^C?KB4jq|PAGj8enEWVLc5uVc!&@h!qLm|U zhH{q~+5PhcH3yB~qC%Vg2B4pY6xJ%S2Fhuq(Ok2Gzg2&<3xC!Gi)rkqxbly$x;a)2 zv=#^p+@7)J&`pHA{QS*a%^Xxa75Cmzxc$4(jJ_! zQd3!=0>sJUXveVl*f?$a+Nzb9k4N^cTW?@%eX;i{&VP*mX$%GilWF!FQ2K78HBHj2 zpE6pjX?*zN*_3Oq%{g>yS*xii&jq!;8RDnv&1o%CEoWOILc~Jn`Ppe^$x0?${_gGl z;|7=x>qciWyfyH%sh+D3eam(^H=pXXJ^;OlYuhSr6g}PDMV0j| zOVu-RS>Af7n8vYT{)zS&PIjWk-m0boeeD#jw$gPSD$3)>8eR;nA}U@TNrHoLWe!^b*}t5L5Tjrd^q z(DSsNI6NNU=X=lC^Tzr{jVwwwtt=FoA<9E4GNmriMd4+xMtT?K)aZ{m)Ug^L5pS_| zQFNPmIx}jtF$YJ5JGuHc2O4Q5G0iv-bUo92k$&1z1WY@93Z z>?OoyJlu^ulU365T33B@XT3o{l2I+k6D7do&CC_YyvSIPq^zZAR>6_Q(oXs!}2 zO?0;e?@`-ukRwkyJOv0fsk!qGrm=mm4@wKH1HKyz6BLCEqJVuekw?Vde&g8=Ds%Iq z+fpy2+htlT5?O52jNqTad{VErYTlO&;b~2vTEVua$gvqduQ3x3634a2$K^Y$DHSJl z%jgL2CyV7pTyI=mFW&5REqLA)>NTt?bB12opXo=^hyrM~XlrQ&D^jBc^F+3=1;Za* zz5^)#_&D4<9;_w57h}-1DJ0QS@SebU`c7(AIK}|F763^FC8`xE!YL37i>8h~4cFP1 zSOqq`{3}tb`NZ=~pbvhpocv(zO9~l9)kn|d_6BjK)UiZatE+tVSag>bbbGWJikKpm zjX(W^5)GVhpe;LWVQgkzL-Ut+CFd#{Mg;P5ibpz+%>8D~HHHMbm=C*#63^ckek8uR z)|Pm?km^S@4-aLAdKZ2^=dLU?;x$VWC~BGcY;1-?lkdYHFZrv3PT*z+XHUO>OqIf| zK{g>cea~W=Q}|^)7K5;U6)Z$Jig%AbR|@yn>&Ld{Z+p69Ov@RRfH=E8X0Rm$*1l_r z6zz*xhYL==u!Q2$?@hdcJxD*F&cbuUKQzoySP&9(KOtM&@|KrSA1;5(TU}r^8qQX1 zv_;l;_w}9RVD)!_*MM9C9$8}XQ<87tTU_>kSfw~jzM#4bU%2MV^{xu1Q4c}1Fyz80 zVNqtQ{NYo(NS3H5Qi@2VuISEQp9FJbYql3ZeA}|o z>2P&$LA}W{>}s`%^E*SfQ8kjpGAXZhh;$!sC) z7Pmu+)-b7&Q!7$xUrPJ~BvyxHhQt&R3rY*RkKTK*AK3mQb)whVpor#dAY_+*7TawMf0XTM?6Y`Q1l8`70inTW|W$PwE$IN z@mgbh2(4e@&l2hzBS`pIFE+v)U;*LsyLPuPvuuy@G^#S|8~; zm~BVvcP9E$_B=G*R*v0)XgB7tmE6W++WHk0;rzO^qiA%~mnX+#GRWi~EO#CKiKreR zU|WHWT3(o!!Up73tFh1-q#iCNDdp1=)=;!uxxHaaoDIr0A_u$$+oeT2Tiu{Z0-7Q1nw7X1 zjg5_crVfWOwNPqY$+DJxV?}wYt&s;jB2;1&*LL0Y$B}7Am`nm~AMyz`I>< zSnhLn0o@0|I(5bQUB2d~8et>(nFkqYk-R5GNIO!*55Muc`W&6884cfGIqcN8%Vg5F zc$}S0eAe3Hw@Ij;H>)Yh50e^6_01LIUG~dJ0a7=EXK7VyOu}1jajY7r%2A`=Bd*qAJOS zCMOXc4aPeW7vmyO5rib~ge4mj9a%_lAuDaeQH2Rl6vW?qNqEu~cVR2(K~XV;CGW*M z5f)#guns_&H|9PJ2M8>mhzFfmJ2NlrBdyHa zqiuP2Sy(rwJ|qVmMDA(RO;7bpzeeiJefSQfG3`yd0`Is-Xt3f+Hx=gTRRp02VkyO^ zL}|sU#cTvOgFLJh522_tx|~PuM;R`TKouyso!ym&cWBL|xCHw-j}v&T5#oiRCeRT{H&04y(Z< z$u0Gv3<_H9K$|ls6TBQpBXRSa%;KTuyHypk^;$7fHB^Vze)^vfDDfvsF&o2M(_3KN zb~vp$o!}*T7Y8jt@FI2?4@3?UV+4ZlsCVo_yZB;xeEBE%eGLeOT^N(w2ygSxZC7B9 ziOvGl?#d;^5uFLzamuvL91g32&_|D(Y%iD;&KJS=*w@5ny^-1@hs@W7-&3MjObd>(7WY7kLW~LL3b&dWE>s&H-W3^t`J|4gA7sUQWTpRAq^4~s#uKP@ zI7`B5M}2I~xD$~WYv(k#^XC&A+jLaWrmK-Sw-JKnKFA4KYF*Hdkq*KX9$jU8k%pQ{ zRxtG4aHXUo8|)m3U&d8gzc!Jjp_E2pA#*};p;jJhT{^gdlrk!K0Xtc=-wFJ82EjjN zR#SBIA)*%=HN#9n>HooKP25fv|!R7KtAKdLIISbCQE88{!B)e8xj#gCjO2TIu{hhnzZI(Vj`z7LxHiV zk>L5;6G_U-Kk6NMmObO0nldgy*u~_~K>?qbfYB3~>`_3DzfYs{&eM>P7@1=O5$P8+ zbP%*%=D$=!{EKAxTm-?7kYn}r=iZrnAwqGn6sV%Zo{~!w8jC^>7K=g#lO@t<3^NUN z$*a&PIFpAMnJqTn_xcb25@d6M8j|EG&Qp85kgSSPm_fcF{y%x|B+_*f4=8PreP>Eq>!#OgjgClX$%nwYr*-FcKuVsMi2(VCZ+_x)QfM*wb4memLes8;b^uA%7&<#wmg2&AHy34VytYRF6{Y1{s&6-S zdzX;78yFEo5*RIzEx8SDyy~zoAakbLEWdtEa#%0-kKxWu;#yOOv7fP|Q_l-BL+?Wn zVW)n5iPA+6#uStcf84wYGk=7qw7fjE13RlxHa64tsDp-hoWZ_?T&(lUx7vKLl;x=} zK{N7{I06X?Cw?G*zoIy7*D$sl&$hh0dk>J`QGiCy<@!Q>{DYI}y1;TVTi6@?^|71U z^Tvy}c87VGCl7=Lu%j5c3Q=2ASDL82o=nzMhX&PO*KDZVv-cA2T&j=zMITU5c)lJa zQNI@9%kejB$IBa8Y>vW2WnUls#iskWJ)Mfsx)j2sB1#pb8HeICXUl{mwfKq0;X@h3 z;LXU-VMBQT__ylu?-0~b9eX@n_ZgM8S40;U07<(YDq-v5GG~60;fk!eySO;b(XB*57UHC#dsFIGow}&C7Grj}%EON>Gj_m@HM4fMUh9%A@Z?#B#Ff$I?CLKDv zDpSw%+kLoRtjp&2(9-o=_w~B5JbEZtq{bvw1bbS1qL4sMPNH=0xy4wuTs5zi*KM!G zRZpcEOgWZv)zlrQpEq^P&?QgnN83~C^uTC)`SVL0Mp5QbVMnQA2swE=B~rMS;IKwk zsz9esgq7Cjio&E5q6WGZ+}$OL*rvZViY~Iks()bRccMh2_wYws-|vd&Cp+NS{gBkK z`pzbI-}8e^^#f(7%nks|wOkz<2Tiduc~;!Ivkr2+dFwaIr}0u=iek~>QWI4>+qM;# ziK)m6G5C9M$;ct+0QcxRm;J>-V>zKru$`7BN3)B2-jPQxd->ODu||ybEInAkcL?2% zuNG$gGiUcPc>v`RXXpv{0}6KcoyMtZV)2C65x7hWE3HaliBz?> zZ9vD_MP#!JaS;0x@ULGiGh0I_j5f{sIONONN%&&8013bFJCq}|Bk~>5{?C2ReXyY$ zq)|k1Byq$WVt5jGB0cf0KOSgz(EFxCjQih=IQG$p+(HNd-~cQD6aW$MZSQvzu@)j+ zxMsN5kcVQV&YcLC>@AsE@d>xKEgGFR=Rv zlyc*`Q-2e1L$(vugW)p^%6;TJz`5tU0%h3e)$O#dKG)w6?bz+O?Xd5t>F3Sfbgr#l zY@BwEuO4jdc5eUU*dAIvwZ2_`rS_fg0oJB}-5kE2uokV02|O2PLX6Dq7^5QpHP`<^ zfbGScf3Q&vqC{8|&zw2c=pcA_XPsNr;sl;jT_a+OyT?7hP~U|K)mQ}Q6HEt5X%M(r z5G3i!%zTxu?`GIf@dQt0b}X@?~_N6^v_dj9uQ4a`I53C-0`Y>f_l@ZSYKMwAPh zU~R`H_dbNZ@8w~#=Du}6oM?jmbp!C~P`-QvO#&C@3!Ap@=etIi+H_);aMc4V7=3yk zsNDGkZUrBb7D1q8`M}hRQWmy1al46Af&zp|KZqT&tv=fm?|huvV9=g>fc?i_YJz!> z=hNq}_3!R`l$XGTBjgNp~Z>akW(@HQdj z69?=+YF6V0)v7@@Z{U}sZG6$dPCSwp^pm46gBzR~I;jQ;(%LMv`T&u^llm9!r^EN9 zP-{cH+BO_O&ZOgEO+BjmDjFpZl6v%GNl0=(diLYZUWNziRf+>&H8DFcRbCj~(2v#p zFJFspuw25|(@u1JGEE2U3;i+vUN`tXPXpLo2*GEvh*94l@1(En(${CqmNIVyg^s~7}rF^dwa^9up~orLHNcQD}x_1Sj!mI}lx{rlG@snfZE;yTK&lp`Bk1DleBng1v8n zbI!W5c|}sY_$BIguJUwJF5>Cu$S8Pcit`yhT3+kVRgY&1yOb|}u8u)tJTD^B3B!VB z7-%Ks@+dMaVqiZE4qFUTnHufLchr>WGakY9IbRI12ak%%UdUB! zZ+W8(o6#8f<%mLxABN;wgT^fyGGD?`QJB&ti0z%sO;$5t(ZTH77HuQs6@lkD*QiDF zn3fGABT~3TN{6zA9}dxr{L$Yfq;N|egYSz`n16*i{#%FlrO|c$#tVywP$bb0ZVTC1 z%fS!U*@orxJwN}@{ozqxP;;-9VKVY{YJc~sXXc7n5gD{iz2~G-tzkEIzzZcyLMim5 zVk>G3uU+==JN}bCFcGw#a+FH7ea5EVo)gQJ8-*+2U2stnBkTJkrL zrKGFLO~>=ZKyl6Krc3LebH zvHoL?&b5NGkTj{IqEAUXMQ|W?c$8GKq>n+;C2ZTy@8ZSG!bIT&gXY9Vw_?LD0!a3` z)k8()!1J?_WyR*MM3vN6H|o&S(h7)$=_QYvqszPfOidBX%*L9|!Seb+&lLT0glt<; zgB%t3qfUOz#fMiFk0K4%{S5XfDzy_I`_%7xeIjMXLfbN>+kCOIc9W_^dB~z*lc$Pv z4OJ2KHO=~1#gt48O_ZNhMb$LtbGN`)*KAVaD_eTA-EmM@m;uv9`F;}ml;U+#ochJx z(G*PxoHfam)(As&J(HP*q}k0Ds&0!*MF^IRitGuQ|KGIOSrE(GF(NniOTdnx7HxSf za9XKIcFkMVk!Zjn5Ol!6MY#HG$+_?U^ybp>qQs$_P#`H*;h(;g*n!&~5JBee>8K!7v6GdIGoIo?vCw{JRW#0iSmtrAEj$$Xe;36ZnT@25&I;TRhcL; z-MQe9r;?pzRIAPP9KVu9KPgkX)+1MQV9 zL5Xll$F1qYP7Da(I+b{q^MvKgUu2TGL_I#EnsP(bw9G!l)YMMLDl*JEBw0NHR+0Mt z4)hZ;Q-#t;S%+&H)S@BK+)k9Z{u5*9LoNXP*Xe3 zJ*O3}9gmksSnx5HtW(oY%|cnt;^y>nAb2ZV*ulp%9;;UAq$Qv)R$nyi_%WITdlVQ| zL74YxBIGg(Apfy!JpJmSblfN^j1cDJMY5-0Tc8O2@M9EY{yxUV?Iim{7k#{+M!G&u zCnF;>e|04C>@_2!nGTDU{({*S%Bx@L-=1nq#d#JCdTQzn^#tRU5!1ggF67p#Y>KOT z{3PB+G85GquOz;KeTHJDbe>Cmqq+HXu`js_H>t=`W_33BYdXq*;C{}if5P!HJTQLe z)*w|T{1dl!_QyjOIj>3CIGRH&VeO7XRLj-G&BVm%VfVCRbPm}UC63370NXR$Im6cQ zb;_*-r7k!x3#s@e#MC2Ka^OmB9HN$$BPr88-v27!vZz^BhRB~jPPiC_ z%;6h7sOV?&9?5P@a_mfP?)vq!YNcJ(rCxH-X+dMJFOk9~_0ExwEBfisY}a68k9RqtajQ#vw3i{+_;k}vy*aveWmzCg)D*ltx&d=@W!(Ca6hG8DYn5u`$A(JY!EDxl~PF==g!HlNzmtX0|k*1<-P zrUoduqK`Br_mo}J+7X%lU>k=u#QIy;Elk zetN1ix7(GX&^inSvEG6oUN=GD$II0P)xIN527Td71{k)&{cg0wnV2<{VLq^0#0dVJ zwL+DcGf@)==DmszNl`|0S+J2kv9&QOU{=%r+toL}xhjwyPTv7&f4uGxUGHzIVYYH= zhIi9VIpytOZs(8b#;ICbPB|Hc=G|Twttc%>{!Qm^`iYysb_}D8lvm`XC_2e4MVO`H z2ZE$95=vEKqHJYEG8gvt=)gPn6LSSe>! zot)jJgd3&fI=2XB$IJez(|y@~sJC5V$C7}v(fX@>udCfwA6qgxt^vWnTNpOa6*uH7 z%{53wV(8Bh6-&jSD~4pGNL;Z*E?TSJp(5{f0%{xympv=@5(cJbG+}^L|3c95o3j8o z*j_b01XFnW+4&#vfnaF^>KL;%!}>lt^iG($9038);+p4^_UFs3k1zh~I?ac?Z4Mgh z-%08ETBV781oYak$C*6Xhs*Lle`imD&*RS4yxcq>Z~u?nXCmqHaFIfXW3KF25~{MK zI4sOxn+^#=NPnX1`p__5b$T=k;>(%xM1Ar^_B#y#FU$H3jVtEgb3Z0td2wf?P&%|S zk}3NGGLEv(%E?qLPmDf6q z&0lS|6>`jw%menQ05u17tiSA^={y~LD?5gJ46^UB;gc{?a{_SA2CBdbQy9O zL!Bf%lA^xTfqp0|sZ6;tSzM`{JfMp6_fN)-U*>Pq7+u?pBkw}{{uoXVordL@{>5fZ z!B+BIvJJ|oKbCWi$Uy_&6>yC=H`h>5@*@QowLLt=s4YTNDk+4<2nK!*b2DcXoN=cROkpK$e zPyEws5{KLu7llrO6lyTPASz6Bxnv={yhG8@0woK8WfZQjTslVM!7NuVpE3wfDMan( zGGHsonDZp~k%tzJSE7-FqK9qo#D%Cnl8IvDX+wvVc`LsJ!48Y-owUG3C`1KKW=|*1 z=_uadyE-1$d(9SFuV2Tv*JW!u?UCxDj@N|3maoDwJn5egzb zRDnigupabQ*(G^@UAj{Gkok#Ipcqd6On{;uyMgop{mP{%Gd8MYpQZPX0Q5vAxTCI?rS?9~J<%>jx(Ym=U?ST$| zzQ18!!S-EE`?@O@En^(oUl_gNnoZZ{dBgcZ!_hkz-O(Z%--Bc=#<|RAff@)SOCM(t zM^cRAtPvEagaVU7FA@6`KHT>qr5Hi6C-izJ?i{1^Bfxlt=(s?@Kavt$VxKjMBzQO+ zagVC$NzlCwx>Wfp+xYn^*V=%v6@T@2u=-FMtFU7M$zrNwG1anA%xqcU5iG#e6+i4} z=px60ELJF4VQ&~NZMmf@P+{XKZ9a2*x?#39KWySNKCBKJ+4-Dtm*1)7_*wmUz^$m= z*FSVetw8i=U(}}KZ@XsGbwZ2XqJUk~a?FSQ8^p_xlp=LVJ(w5Q&S803VLUv>f(lU1 z5mvJ(qqUmFDvlWuu~>|8#IVxL4_3o>s#I&J7Jo!-uDPY=S2cgAA&?qz4JH-U7;F4B zxD~Id@g`MCJUOO9KJ-&wu=dBi%_>lZidC5E85BqSD1vywb$#`hj4?~BGQlD2c0P*b z<1U1dpRKHHB+f>ojjqJsbvjoApKl4AwIp5scYyZV;HP;YD|X>a{36}Kn4t|m_}2&N zmEs(fa$r*BR2c}0r#oF-4C^M(6qmq0LavQ4)kny-5vCgmx$huSWSB9@n=_jT@K^8w zJNB0in0?>2GA~Ig^Yb1YT3UDOqQ2ct{(Pmu<8y{)t!pR`S<$zPdnjCzikim3xN<@2C&9uc=seM+4fpdAF;wE0kVebYrF($wm~L z3+%Kbvnc@xu?QFO(FhI-Y~buCR94H8lje1b0=wQ;REp0Cpy3puEcCa(3|ScA2+OD7M%#f1L~hqZSYQNcKHei!yB2T?#oQ z%qzVP`Mn)vq&vY3d_eVG4Lr)@sR^kXSC3IjupBUo=XQhqJGK{0)(rt<%)ca6E7Urr z8zBf5`aXh)SZD2ah3KqK7f`;hm`=oWojsFmz8Xzu>HdXWa+W}uIF+!n6)$9#Y`y#4 zG%dr5>ZQqeG_EbInAPmRam(_xjnbM#X{ENP%263HxodhN-mX+HgA5k<@>F)SRu`=B zr+bY1OQIp4)?qe?t-h!=nDWXv!tvX|+@Av>+|OtOcw-?IbQeN{u^y(FIF2J2>MB8A z#gmK^Og4vk!WHnK;&;D%7fK7iX8iYHjXj<_Huo2}gaDz-;8xfE@}A(!FEQFK*Wr(S zyZ@t)z_s?_FJSjG?x3&bq7+O76~lXp+3+ce6F6~##p1AO1iuCl0To^vItQH43|?p} zwN!&C5`${S9T^|`Dtt{$AnSp0dYW)zOuQR`X!L-99s){Ajg(MYu|h6avKVX@`&f#l z1xKs`f$4Tx{sa#IU46zI3&nV(QpRF`B z=R-LV(Wd9R-=L-ff`BdnqtQZonS&|hb|jVbh9r}Pb>w+;3K_dyc==27sjC+*D(RWG zV6FC=t6ywB@_@Vbx|$=^cQS=ahbi;VK-H^D+Lo<|wRa8mJY5`Gx1nfJ?^W?V3xW5q z0^Wa#(FeQ_pQOMhqk-^2RSPN&7KxJwDHa#@Di0zu?rynUC)kbuP^FP8zoil}hRT)G z`I3oAe*e%-$47S;j6E1>-UIJHp+eK->WmmRmiY+FJNWzI*WUZ$ z)4|_B6g&d_egxQ|1-z+~0hzC6GdXO|ffQTKRhmeEI5x6VB+~0>*S;WrLHwU^fYUf2 zayVc)Q2+z%7d%68XZ?7xv%{|uXtc)RCNKJQF#}~3l{%DMAZQ2yX(}v3FSzVwky=zp zV__Kn8%zIxV&S%FEZ83YaV*sRe&C0&0M>L43qaL-kut!e6j1ll3cp#jipP`vepN#)yFr@owqr@s~;&G0vCW*&fc8{(47`PRN~L7$mAFQEC#5Gi3`#7kX1Lfc+;tC|!D5i9>Yu2V*vcxJ`2TWzVfUR)>oURe|2R zr_0x^d$skcXBEEs`7598`~_d<^Jo4RSo*iywj`^Uru}tSC;#|daroXlBb^;+DDi;TOSEY*1eI(6P6e44*aMeLJ!P_O58-%-lfU)R(lY>L>$b&;{ zV#dA+U^3|xm5HVBhXO1MG4JcIman<5=go6}&&1YkUbiN;tiCc0>OHg-oi~E=Pqbzp z${f$!ow*G=n|Uhp2h@oA#!&r+mVCv!kVeh;kGAPcg4C{m?DK~C}-Nsx$8sf0*D zb_#_$Ee#Za6G_-lD~~IgO-u2nK2AdG{WNcYF_^cSe#`@Vlk_LxCg=YOhR^;#fg#-e&*2a-r4LCU zH_?8H(rj63wU*+g2PrGbwjOi^*r3KfNU>$42lpH#3GHsDvygAtEtBzyU4@0^`Mdc4 zaXaTqX4Fzj`ux_?gF{w!7$6p$^WYGf!>!*#(sBPjf9B{j%b#@PXqrD~{wl<96}EEq zia+n!{_=3FrxyFm%2zh;eW7<~{9#?Zw|dUX!tzzMo3`@|<^44+Ys!+>Hr4hew17dq z$F9jTXvda07D4*GC5PtEKCtYndr)TSK)tVgW6Qjav70V`S-!F*P;tY&g*VsaH!g)7 zx)k?eZ!mYGEc_sF>CX|)DHK7zK@yP&9Nh$Jk~f(*g3&waQPxR>bqNf@v0gCtH2ulD zCdb&*V;<~ndh8HA#(NY)5jK5VdVFc-CF~8%mA&dj5h9~_;Ib@qGwT)>#zOq*mAUI@ zUdP_VEZOUW<0KUKJBQI%14lhgpY5(6J?cg5ZThG~^ic|m51+MDp_^5=s4!LbqLFN$ zcQ3H+b3_PqPmt0eQd~MlAwCx1vN4v2KdMmZMWZ;LQ$0V>?VV90qBD9HO!UBkJ7(`r zcdV^39?rbn+|kn1G$&DGSn=AQe|ow*K742T&3DkJe)I5sk3KijdH}BaO%uNW^Zx{J z)lrD_Po}^F@X_|<0H10@jl=^puQM0G6vuk!;ahm95DdxQ-a))y*Gqrs3MD{ z2rn9=_2L*{zyKZ?qu4&E8^=^0L}!%9b)!ya#5gLK^NG<&B<36C^JAscVsT0Y`-jt_D{{6m6(!+uOQ) zXGvXVRok3E+$QX-Yi{LswS~$Z;&fAc2Re_hUshQ?94i{E4%bE0d}B{VMPH=2J66&C zv*s49H>#_j-H`FNqz(R3eM`C>G7-kW-eB})nFw1$^B;?t;y+A*y~$XR&j(fp?(vit{-oyNjtNj2{h&tm0?14;|2=PF6SYeB{c>ZJsvODryM`K>;m{yvxgW02VC zKAyDr-Ehbs_K(tNg#A{(9|0@$d?@Tc9}<7yA3YBS&--CR;L2S=*lM++RvhlDeXl)a zmZ4#yB~LHl>)n|@xpjOdgOmBJJ;P=&`8s#zUv8}cyrY7Dpu{C+Ge>F-j(_d9%IVIW zHTX{Yh--WVYl;0=)j7Xa#`XEQ5?cUc(?5^DgcSjg{2%lW=xx~Z2oK?(LYO$m4+JmV zga~Cj#1Jp2Ib2>I1~2USR5Y4`FX+oc1YzFJXhUL%n^MLM2Ct>~{u12hzTYdA2=5n5 zHSj*cP~v>{YZTxbPTtG{Y6Pd7$Rx{L2x>bSm_JySR@~tP@AwrXkieaVW*MUkE#*1P zTCL&%0oxbhWmfPU4vkW|U1t*-muHHX&ygzS7I(h_jvdO59q01>VIJDIiqRHi%Ux{? zFMnNHsq@*1V34T|RQ6(B8#k0bHZH-o{bcN&Uu8lI%BII~%+u)d+wtIKplcAr#OH(! zA4Tes1ZAqMtWJWZ5>mKaLjT=mzzI>M(P-9+d0Z~@ZlM?~SpWoh$8`d3?VX1=8s`20 zrVnqBVT(g*Zj$B_f%bt>&)G{S0(uM=&vsN~GVqS@n#T{HyF?pEK zSkjNa&~)o2r%2`xc@}gF_4!R}5;txvQ}Z8lGvy_%`E3h#rK4J&yVf(%W=vIYN!@mR z91e<#uY<8?W$KCf_*o>1cpq0Ih$$E>fDfw+d|J~Hvxv{*vF{d5dg45MlhBPqhQo=0 zX=Sq$y|(~_x>8C;rMWps=N^LfN z9-O2QFbN}AX6z-E95x%U0{0QVa%!$qF{d*V)ct?}Byisn1#4S572kX;8(lcd?43b{ z^mbUzG2qyl*ubzitUi@cuJ%~EO7{1b)r6fAZd-p%g#X1XeDxk zS=LpuFWuZ4RdH)uW+i=qgXS?zLSwidi@f@TR^&{%2M3JR+Xk=OLTPx+Hxvp{LEq-J z4>V{LekT|TT(fOpX+k4?-7O5JfnV-J5F$ZWZpkMR<;3TYEALV$q)g5TI!+1MYAH#u zSuD0tp;RIx=)X=)R&O8EvkyTc90l$He>qnJ{|#(m z5+mr>rx*-}Yy`b@QZBbWiGG8y{2v4RKxjS&_I->b&H|8z;R3bO_btIvN|sB8lVy}L zs~?yw1@?70W#?Z_ULI=rY-nZCqPBbUAHDab;r&m|Dc$#AWWVR1GQU4_F!=~dZoco< z#;Z2|Bf0YKY8d-=-v`8tf2ZQE)a`>+ zu@t$?dEOkHf+0g9J^)jo-I2L+zfU7-idz@Qicc-Ay!0j(s+hF zf`58aaRwQ|Kch{~^-t-WQ!xc>pu*A&`k&s&!mwFyzh1HK{@!bjEem~`Db|8$QTQh_GXst3L~JiGJoXSZigWgftOdD|PilJRXX-*oWpTT(Td=_bNqdLsjB=t+1MP9qeMF@%K>suF@D;__Ybjk%mV8!m1v!{Cm9(Pvb$`2r3D?dZT593GpaFy&jYQKyMYkol_A zjTMC*EiTA8@L#L{1o$S5m>x6g^_nvl=o|(EIOpGwaB1WG@D-ZuHUR`qT1UHw`JG2~ z7%c3ZzsRnUq!}E>^OrGU;;=YoV6RS`cZ&J*-RT1@D7d1+aeA4&eURANWBNV&#;kD`K+P8|mr47NY+fO_=cj&<&qS& zsJYz0=SOA_Qq4ClF0vb54^{c(>o;z{X(1!nI8eH9cyTXSd0QtwCXB#(JJ2t6NFgO- zCX?1c5hz#Gh@#5l;YH3EUpcie1S~U0 z4#H4d&xCNU*)WZ0yZ~LhI=SJY<^4ylDL2PDqAQkSv(_I?uQ|3nvSR!ReY~5hOc!hH zZW5a+dXtq4%5=7hg(az8N{=1Fxw!k#(m6NvMgsG<&xxgLOSFQ(ZAilL{j?-qVR0log0cDK#`WX=cwed`YFlUagh?R&x6b8`L$k+z zyM@?-ojY(K({SKGZhbJ10hKumDW>#Hxm>R|81f2{veWvc+Drsz#T|YjFLYWEG|`v~ zfu$@Zf$Rq13c>qTX)!zVDJ{6DLAb0;45U_&gbj=<$LL43XiZXRW*+kuXjn{5L4GC> zC{Qslf=P&%zczTo;^!AjFoM8wl%Wp$GXsH$ieRV;0_g4CBi#dM2hjBlnMiD;5|@va zQdYTuqJ&Z_6+^GCKDhHL-NlUlt4rudU#n{8^)2c3WbQ6a*knSA63MKIQoJJ;H%rCI z3mMmEpP{c`06w^W;xqhdd>qu{`KJ&R<%|&IV>%Qi&X`9C=3{b+MEIAn=eP%->&)f&Uz+a!iA9q783U{P9OoK9I1aq z!XF{zkE+>7uo#$1aUAOM0B(++@c?rlmt0gRV$TB0IVahtIZqK{gh#NE#6MvM7Q@v> zf~5uur7(yleG`!rKDJ@cJ@^FJ&kCs6VQ~VzoLhSIOe6)9H-ORW44;c(1VVn_2j=yTOp<5= zk&1&_p&RL?{E~){m&h|d*WS)KQI+>db93TE1V`fW65(4(Z>KsWQ70*mpgO5es%^Dr zN`Qk-&N*WnA$U3F0d=GYe1}hIo`+xtxIPaOJpD~V?-_yI1e`KSMh<80#$R)2^4{|w zdNfEm^Vy*9-_?_k5pCg~AckQ^OY!o%`vS8~PKU%*5klD=8=4#yo1a*{;)$)Znj75V zipGlBiQ%7hIvSnmjpOXA1`Fy!s;d?(S*|N6y7TAzf6*~?NZ|Il@nM{S0Y};w6t`~c z@^g8b5{=QN;#iUk<2BdK4XR3eYEu1n+3aY=U^MUM-j3@#JUnjZx7S^7iFZUcZMx?2 z@2oB1&c>ek6*VY|L1t(Jz%v2NsS)RsAi2v=36-Zwh$j`neu90PWn!FWnrJryZ~?c` zTT3%qWI0@+M;WSsCsXAQlQ=;TJZ;F0a%(1HlvN6rq)={4l;E>#8&xtrDPbjr@XE`; z<&J_VCy}~mkQgCH*ocgfKW_F*QNeg2jA7oG8(QKan3Pz1T4Pe3R-3TXs400G$(fWj ziw-gBA3t|KdxvM1fO3y*{D84A(jgKS28P@b#L-N3o?pe1da4S%omD=jI_SyNxWHd< z4C88pE|gh?vvHh>2iu#Q+_l5Cc1#%xP(q109z&JOb~YKk#dUdAJw;n? zmc}hQ9HbJ@t?dw^9wBzcO*=aYbkPTAdK zfOy0w5xp1KMTv~34JO@by$Ru?e7=MY{zg9^ou)$~EvB)OWluntbPcAk!qV)!F@cE! zz~?wXbzt_K&cb-n7M{wOr_{P2i zvo%p+5%DKo{!r!ILOmzN1GBgm@cmLSixQ;fluoPVKsF5k;+KIRD84OED2%93lzFBk z0{LI3GoI0?XkXK!3x1>TDhBfaV#R+xn**)6yDe#jn`MR>52b*~fZmH zyUae5$)1qyW+4eMSx7>5!XyL;kw}P%EQ)558IqC7%rG-a01+cg#kFWf(fY4T^%d6# zs5Dxu(TLTjRNGg5v`WQlTP;dyYb|{yllMEzOeTQT|Nr^^uYE7```p}f&;6a>^8NkJ zb|*KHC#QwW{ZX^ZmlR%XHx*yj+Ujf0s_4D_vL_zdknVHLSz4ZgC1p!D2&tX@2mUvYJ$R{NH2BIxh@9NPbTdRxv*UKO0fC zRojr2(WodU)c6BpJhDm#tEu#GiBf!ct`cQZ3`T`)n2*qWgfo4*SVbQkMm{+skGN&x zAANWT1A|FE*ON1xsro@?eri(8cXhhr8dGqkQOVZnlT%aEQ%&lGkHcod)=mTabbG2v3Av>in5BVl367eiD~*7IYrjCc`120If=lTJL3XNqqWhJ zTbmFyBO%71#`@aTP1Th(Ib|~oJH&K-eCnKrPW-F(EvqXtO<12=l3y~vy(FRd(rZ^P z544t~=wc4f(Im}TWN*CwpH`)4Qc7pfZD=o!j!%uQYFJV?*U&oHQK1-OMlDzsJ8P*M zuuBdONbdu7^HIscii#T8AwH3gVoHZ4)4|-s`NNX>EU3tU1}I%co^0d_Y!nMalrF>Y zmdBsFA+mF%Pov_=LpLEevt(A5k{KJ5uFOnnnbWkS*^*N>J2P4vmynPUr@dg~MHXCG zZp3NX_TZoQE$#2_Xk9)hu|B!vl8U9btDeL0qnjI(wW+1EN*1)wjgL}Fa}wHI+iu>| z)b;8U-?CV8MQin6g7c29+!u~8@dYgU+IQwa2fEk5+?Kcc( zG3l6kSekn<=2-a20Ss~Eu@ZlP5K|s{fIxn2p*-45--8l5-a9t%<6T!jy1w8K!Rr32 zH~RgXFIjI%#O1jBC|0gp^W9%v8+<)^!iu^J~~WQ`AZ~#MJ}p*8YxnY)ub|;^Ai&i zVviYeG)XB04F04*qp&9hr8M5K0RUL4KArj-Dqr@EnD9Yqxss6Jh#%Wm^6-XTz6!7N zlB+Xs*!E6`|Bf{|)jJ-3s_j8tyiy+gO~v{*+}m~x)^__kFFQQ1prqAR)pX@8cRfJ< zEg#xF@oT9VtYzPcwLOg_DdFI}d8xxv!jpMu%LS(@3$ zl87V4$21We?oT(6brIPSkf&QPg?)P7UynNf*ID8sA<*yp`R-k>Z>Z^7E_l~(`Pa?& z{7Z*>{0Cb$Exx2Iep_Gvl~FslEWNxkahHGV)xyr0S^vl3ynj{Ox1AUCU6>P7aC2AJ zw^}Z`er4Bnzw95(vo4?I8Ssv`Z@hL6Ec*v{UB!0O@5n2pVc1Q_KBZNw1SAcMAHj_L z^06bOXKbKX9SW-PUk~BG;y;alBqTj1B#nRc7?pwlB(D(e1uXRk1Vr11H_`j>pB{S* z?mUE}bSyObVwJQnS$O-g$AVRlJ%+162W+a< ziLjq8L5r>V3B|DHO`Ro0C&d+udNf*+TQFLfn=_i7o;jM8o{(S|)u(Gm=~F1!ofU-^ zu5^obr07Tt-GH3=l3V1TKsm=taq7gzqJ+5OMM3kT!Z@uqDp+?@u+yZ~#uY9KE^91^ zk1K4%PvdLwBlu2PAC*?!I`&9QwG!3bOv>1ECyr?ts~6ws3jRxf@V=Jn40Cg{IkjfF z*g?xP%FDFh&Mky7gqT(m8AiyFo`A~u&$RDE_!&3TFQ6`gqB9^5a8752lp1J5t zUcU*wt}A|VU-Ft&SKe~%P0Md?S=Ms(w=Vw0udg|Im-{~PQ zlih<#(y@K8hTg21$=|Gz&w8^adg_}s;vKre%W!6krf_BOM5|uk*4H=x z>O-C1II(BByCx>5rn&s)y<2x$mbI6~NtX|_mKv8uebLckwM4b(zb7wWl(p&P_YR!i zoRAc}zj<{{VeG$+zVS+8<^0Ml$ieln-+c&hX7AQ)w$?0)`#lV+k|C=&e?e-Tl$D>F znx7@b$t}w;dakI*qW-wF=^b-MtvRYR18^4=GcGlknv<%ISx8_SK30-&65al;4efu| zu8oEb@TX+|3(LCjpD&_+zlhLUx>i5)m6pu&zS5G3&+|%)^w8_#{FDD?Z_3ThS+)?* zIPln&r|x_3qib4VJ-fKs+UEb!){YhUp0W45Zs!#n)?MLEEUkRt-fw@`Zhs_hK-_B7 zUEq4;2k}YP#5sj=J9c*8)lum0TGEpfv$A|ipfvABd*I%dgy7+U?N_=t4h@VQ%4{vU z_(I#7=J|A2>x86P3HcJscC|527|gFllWd5Qbl+ExsuXEdp1P|&>s1!ml)M#ZX$a;t zSmJbrm*TYL7`4=7&@KcWWo>_3sj(%B{Cfk0WDL6!R2JS%!%@ zBrmgH%OSdFO6gYfjL~EzaWqL0MzK;hdiFk+?(#||-&Fjvmr!P&@e+!RgZcAkCnS_x zi}RXVOJZXStijpyXT@vhti*|}MqSswj`h16jI)XP^P8}@El`&{qq?FvHMqRBI*oc& zw-o`mRi{TM@UHgh#w+EY-Rb-n({qHXDk-UWh`&6TpjFM5bl>CI0_OXVz8254H zYJ#mL&iA&7aMK;{Z@KQotv8HpF5h-Cc*&ma&As*M%~vkperx=OPYyhO`l=N-jky2$ z^j0C~C%4NoO=}WoRMrI3!gz{n<@V-WQ*f-R zGN*lhVX(KYE>$-bL$LLxi4)=hu(bfySYs374YpD(23pYF=Hn!qcQgk^fS00nBkb zV2-OnCD!yARSD^m5&fnnw|ulBch2Z+C4Y35Vj49n`cXqf60>=Y&S#OopCFLER7GC+ z7Zk)Z?omhRK1&@aEzC-MNT(}bWDcf5{%0mTO_dt++Uj8LwF5I|M5`KXMpgNu=-^6v z^P$+-@{(wL=x*T(yj3=8W_I+93k9|5mVDgYtjSs7!oOJfL6Ug?>hT6)*G)$*3XTLD z=9eahZwq6qEg9KyxOr@~_&vI_IwAc5VzvY=JJ`_B_>A}&suNFI4de^#iAt0cE162j zB$JkwLGC3>rOU&Qj?b~N%A=DB>>332wRA<~_tJD{RnDi$LNW3;7Rt+o zO2wR+niHQhe{P3$&8o<4iZdjsQP+RM~%A)ei#nj}g>+xsTxAd3B%xf#Pb>+AGaQ)S-wz+X}Zxlq=TzTb& zpEjn%R@Rg{Y&og5D-NgKcI)Dd_#>8_Tj|?0!R7Koz@!vetonk2x$h*R^jWE+>BCaS zQwF_WJz|O*KfLD!JaqXAsk!djwf6-d3%+-|YfX+(|FNcNJNU9^;zzPWdL34nafdW2-E$)5 zOT^M~`l?yv60`B<@%Mzxn}tk4Jw75>cM8_=k)1?_pq3rNjWBZ?4hqk)_MfsP;~wcv zLA`S)88i1xl*tZxA^Ar%D8*_p=uFQ^=s8$EsU<|d5GwlAOjRb5m1cxm5HbGl4Mg(| zLiX!BcZ$ss%0c^+C|wq%KJXyRYF2ABq6%pxL9de~2@4>Z{&_vfKK5NKY{YOL#uXSR ziVgS$d(avzS&Lr{R@w1keE2e*E5@^W1J3 zzahUT|6UgN%UB*3KYv04zCR;=jx=Q1{Q0Nm&)2Tq@M*-#865edSbB4|@b=D~LEFxq zI0meL_}{z4Cv?Tgh@ziTBb{Cn!Q>*?^^r9<%#pB%jy9u2bq;zMKaMl2$AgD(^LTae zyIY#v$vzg08>ZvlZ8OeF&L}p%vgv91xTkyT= zaoij{G+vD}@#8}C*!UOYAXI!;_@(em@m&!5#W=+|SSwoO2f0+ePLv|0#t;*uslfua zz5m;!;5)%ra23u;`Q7{Y--GuDt6N%H@HoB#JMjb*gY`saZm?GP4wtD$XUY_aiP6;H z82pFhCsJ?@t_r>qd?)F*AQQLY=ZPR3yd!vfP$c4CCNlSbaX@@n^8xvf_BfHXXQqkQ zWd=VFzJUv|F*A5D*pF|}e9-#%*wf>Wj2{}?|9I;@@iyTyp-a4xK0^d4wM7fWZ0QBdm1yTPzN#;hNW#-$XQ3Pp# zE{}dDrZIwk1N7%uF*Z7aGN;hI*rwP^BFH<1o{B4rJ3LA8>%J!HkKZ1DO9b6_K1!H9 zP17_@(=<(A11*`R{{njU>!QR(iTkH%n*N?Bsdk#CX_}_#|1<4M`t>9wU-}P0-%0-6 zjD<7q4^c`U(DIbdl+AyW#?DWvEvY{tly+^HUP^l@eR29Nls?OF{@v2Csibs$U6hG3 zegDlgoOwEHc?A6!=v20lo%J_q&)=Y5LU~bN8{L^V z@sCdVnfYb;o%y$Ndj3C2A2XU2H%-$tP17_@(=<)fG)@2QR5zWu00l zZ{=k@y4Vo1$AIz;zvShR&0^SQ%snVEs(2YAgK;4*3rKBT&dVZ7G*`moTwZ5kO%qUScd{gfl@#60=eLx1KFWw9o%!ny+SCjhH^ig`;ZN7f^$FcJK!8Z zy}<8};3jn*_(|kDfI9#`EpTn8d_+ExKx%BjPxN?!)5+U*b14pfZKJZiaP0%#PN)lT z>$<2NL_e{^MLi;|LUyqhq_qc1XV$C>`(O!Qhh&`#9WTAMLz`~6wotr?yaBG)64rC3 z2gEZ44N{!C;pe$A8|0o6?r!j=A9N8v&xJi{hn@GZB#z<)ebd-)N>Eif0?H& z_iR(L%0c7e;Xj#ItlSS*Uiwp^)`p@Pl0!IMz;V7DB@wEJ(xx+{hkA&UqfGLL*xN;s zX0h$1n0rw*Dgqj$R7hhnHUA2!&OYD?fF6QP4@Jid5;j3?$bUbSi686WUO!k)TH0u@ z+lU?lD@sr?nu{vFB*zz0j6%qVV#@q;0Vx!N9%{8eoCB;EFn`XLT21BJXacmdwEYJeau09w^Mxt zYj?z0?BjjE0PeBTm2tCi)_#@^EQd*d@lX_cXvxk;Bi1&um)L1rHWv`DSj>qXo4NnQ z-#~=L12krv=om4;CHg65-Dg?DdH~C=$?<~7Y@|5!&>9=n8EV7i2WY(59io{a>!R`< zJnD>_<)Ra?VzoXRAs_9-ET0Exc@yOgPkh||^)6e)XcC}p-Mm#ulZTI8jIWQ{=%QXSWZT0dWGK!g zE?({@yVFPe0g=Kon~i`r$i@(t8%_2dC&zj##mq)$ z3)a&kQS1kOWOj|5G5oZjxgy5nE?Txl`0S+Ubn)3JG^aUet3EzLPtN$P589yzIeEVg zA+<8xBhEdtu+coSCw9~EH*)3+^_@_EAzBA`{K&|w(ClZ~VTr(NGGlyT+1W^)-KYFC zN6E-(52NCzQDLJq%W)sIxSz%|((*~P*a*&Miph*|oG}714BRM>wz-005kOP({7en~ zv^DFYe#B<)Zm6A%Np*xa-;|j^q1hAi!|Te) zxscgznXILB52Vh-^)8pxj_a55Psx0?tOKt;gfGx#%6>4>-HwqSxm1xtwl?pH>5k&UH}q zIlvqj;5^`PZBqOJpU2%}0YjWFhtliuIX8RU0cae-&Ws{5h@H#@N1qpL13RgN^$rE< z!88aLfbQ@Cx;C&kKpJ`a0|e|ozr!^^+-mQIU=W|XonFuh7kwUoKmo9w-40t9sdCfk zIKd8Qx1WFnR*)K(XVBs6w)q`OcdyN7>kdHBnTh>f_I?L35R`5L5ny7MgCGjcoIWUn z2Efwca`ZXekW~44^uuk2d8k3Z zp9Ive*gf6-)RSu3%*Q%`GUy;$CiBs;kvAT626~|f08bCt;s_7``fQ|*q(-;X;qHd| zO?_P+7q?^yWbS%;d*LRZ(*=<@N1FG8aKOmr@sk*Mp`$u!F9hije~OBmc4^3{fWy{D zZa6jqU%*dt&ZF3zeGZyX#3txSPJaM$kYumhF~}0g=A&`#1CX4gLpi+=Rnjk+6@|Tl zfVaA+XmD__urJh~3!xhq0h6c4XY=-MD(VibgS7TXu+nS7HaP}>174StBJBG!&9n=HIPg10bwkZ93V11I~O0n)F#HnHS|^mY?j za09Z)7b>hH8Z2iINzlU~Qy|00_y=t*q)kWx00LdMyEnqJK~M^v%GD3?p0wWMhMdcH z&VnA>MUW1&gM{;0OWEn51^F3(kg#45Qo#BsmsLX%$ajL401ON;ibKL|f*c)myF51g zRP=0oyz>!vJan*t5yA_Dgxx{hBs{$imv<_nFeSJ*adr|AI)r$7on20_`75OW4S12= zvCamGRA}>iH-?_kqKWM=Go9-r@F$rF=fgQbpNO9CV~(jY7%=eQyT2;G(Mb3O^H=4M zilY2GzAC@jO!-fLRsQH`!Y{hMDt~M&@WUCAC%Y2mm*7Z5#G{=k9(@DW9oNCEe>18@ zyHPW`1$Cg?P$$}h*5i-RfLM;Ui#~LN=tq0S0D4f|j1G!dq36Y|=vCt)^smMx=sjZ- z`qa1#{WYc<3o$h~2F%KusuSl%=u8BiS)j8Rbk>2+WuS8<=WzhK((D^Rt{FAW>n~clw4A7YkI?F)EqNzHC^$|LgLFY`+Sq3^6g3dP3 zc^T-u0(1_5&TXLc7SMSo=-dZ7zYjWJ2Ayw#&f}o-gmDQLKxZ20oDDiFKxZ@PTsu{# z_{uqS&I6r|ptBuxc7je9=-dc8cYw}sfzG=@=Odu=8PNGE=sXHKKLnkpK&KjXW`WKs z(770Nt^u9ysXFEI2%RaQGaqzTfzD>oc?sz31)cq%a~tUVCg{8ebnXM4!=UpO(0LSe zegrx{GcLjibf$pLYS6g?bY2EJ{h)K#RGq5lB6Mbe&H~W640K)wI=!ItTF|)%=)0iv z0O%YAov(w=6QFZk+>E2dt8k&X71w~yCeYapI=6w&Z-dT#pcCZdpOOBp(ISoRgQ0H7 z$_}&?s2+VVq>_+I_U;}U+TE#DA+0(|quID?*RH)Tt&CKvjk|Yu4xQSy%c~WURvfXi z&vBIssnl=wHZ?VUq9SZwXtB#nMZM{z3{0w3*?nAtu|^ysA2c*1idZY(d+)s(8Ee$E z6||!l%5jB!PV+k&vtr#9y4XcHy)tQQ1sjgj(uiUmI<8h@wbpDl2jS4Fu_jbTL3(Ff zW4<}Rahs&ZvNniNP%mMPTx_*cbF9{4y@WI%NHLR-qKs&@SgU^l5IPeh3_fy<@Dz;j z85l{E7^wlHqxQze#*->wQ*(>}F8OFL!-z^H7-=!qN<(LY1k$x3kU%=Q;wUMn`8^H8 zDa=U^m5B8eD9}NQ;2=PyCDGR)MiWD!l3t6n=ctC6VrUA48m!VyK&?Rn<3bP;3=I;} zhA|`{>U3CVcz?t;)JAC;CF{`pBWf9|)f;y~vt3@D8tXI$N!qdlJ~s~NRB&qxF@EUu zjvX6yBGQGCv>sP$kXrL@R|9;0s|HTZM$&4>MzxI8Dhej>NLWo`)M?&*T!*oa!^!c~ zsQ^zmA$rFoVZ91ehmlpxv}(vYiLG@=r^hh>gwzN6HS34D7EyuSJ z@a4>nqyg1sR5RYwv`mM9rqcvr!?_VYM>WBb#$;%y9_B^zuOH*!Tu-djVYOjmgkn7s zC1brhDU3A%J1I&=di4lEtzjR)dql6tdQ%APsd#HtSfiN%=#3}o1w*c}k`8(dc3>kHfP1GIfY)O|9|oQwZ%`BDX~09s zg9yDW=qI69PU}^0A2L4#ewcp}eNzPbrV#pil5G+S(Zun|vJs&9l3RcHtN-|;3c#GhVs!c^`M#|qXuiWSHBFOEh8ga7mki@HA>hhAMc#}uzr1#K3kfk zzYJ-isjhb2DEX|bh51ISIq3^EAvSDz{iWj@Q*V6zv{3+&BkV(BH8LWRq&|A|=x%CW z9hLaPP$ZF>I%HG}Mt#!8y-A_ZRxsG8-}3Ty^1m$%NyWAoCc+{@4X2hhvS1DkY-9>G zYuH3V;Ia*M=MrxSE02+(Z31yCeU@&?M{?(XjH?y@+GyDskTZi~Ca;0_Bc z?(XjHu(%GckKf(9xckR@F_9f#ewCSBRo&6uGd-25L>VapnTMSmLF}MD8n9Rrp~7BJ zTA;R4mXH|9$Jct5lQSrdT1^n7oEYev7!VxmwadY^>J06c)*haQ_Ex1UHFn=6*c@sz zsfYPT&A|Rg>MH1^^RjXTugGE-;@?Z{_u2;vn{TtSv+H|VwYr}eFZkPWK|kXHs+Igv zD?V<9UE&w9r}}bdfi@@9RZ>KujhQf0wTX$NYmQuuD0acT@noCw z8ulU0>T7XnD1y?1PkFxyWbbUkP4H-(!r+o*G%3%Y)t_DLTCd#6J%9gP-Z*N<3zjK+r`{TS=O${F#4vx` zP-XP}MVC*&JW>yX)^%i0#H>!D`fV7OF`e2nMRui87lFl)N1KW(Z`Fw{g-GzgZLK5~ zh<@!Xkm7ivs_)kgAcE-7;}kl5Zsjb9jULvbuw z8B!L;-D3b{m9&v(mrqH8V$n9SkkcXNOqE&X!->HCgzpUPyZf*)u^ zz%>2$eh}p$BsTsd5_(;S?DX_0f4}Q5kTbzkv+o%Tc=lb3WJ0#(V}^&E&%iGgptYe7 zdt`c?mp)z-?pvMYj~T0ivn(B}{jBFDNQZQY)SoWZL|HX}>~YK(}zflSc( z2Z>lfz)O^y7)z&YML$F$;;u(tfITddF0)Ivm)>SpHWC}Z60G`f|RCr2Nie=r` zy>Q>v3QFWg5-tjvjEKeIJ>nVkmos+_^>hU8nA7)_B1eLf?%N#Oh_bCb!PP|-7Ar-0 zuv$mU=}RR5`|2sOS1%{(GbS`kM|i>%hGtzLvpR_6>7!aWztv?ROvN1F$dFbmf*QZ6 ztX0PDUqKml0Ro=6JhF+1B$J<6>R_j?K&sroeip{p=MLKe!RV`Z*noRt3J1nGoQJh$ zUkBjlCvBnu4s6fg9O|A0eF)8NgS>Y^wszV#V)4kv?E7wD@tGXk{e}>YU%^)(@Ep&T;P1PI z9dwUhz>a<%;6G%??)av?zIcg0qxpT3#SWUkdC?baW+l1py7gdUf|B0JqS&Acr&|22 zTY)g`kzX0PLKEy!qTRm<_+X+B2J=B9G@OpJ#DA<0ZlX9F1i{x=509X4!Q48$fWFFl zlQZr@LcBHr(ItmVYmQqbzGL;47L4HA*%*lPQCEyJJs`pb;)nR4Km2hMrNuX7leV{F z8!gB4d}VMTud_Ziq3Rcn-XAV@i0A*Uc7;W|*iZWPKS?i(EZIdSHo^Ni3Aky?TCJ*| zUDC{i^PG7)6I6ob+mtU6`jKQjTrAPj0)%D{Gpswru@3ld&J%9T@1P^YL^pzx*HgP6 zf@7~5BSd9bof}wSz|b`lGjm7#5GKe=d*Z%FRYI^C3cIg^08fSM%q>ngokqT;D74pv zZ+?TNzbn`=aN(Q!3Zx$A*+nD#q;xC!S~}LRJKZh^If&(?y)o$&yTZ6VeivEYfiOA^ zh5ex%aQN*;id^tK?rOqF{SzS7f_(*B@FsqmE7?)WFOR# z{FQul;;C2`M?sl3Zk#5TCZRcZRT40d*3EKl@@I(BndB)#7!fq%nEHw@4=xy=2r8|9#IjmZlf?&Zq}O^e}c5#HhKWz&M+-q7Nz0 z_t!frpQ_QLHa^b}q0x7FQ^EO<`luKkRiP)*5)QBUF6E(ja+BeE2fqZp@V^Y2P(OFy z)1ws>_oz^Y`U8Fk79(&@V44a#jbutsJ^N98#-5AD!*>bov;q82pW0|O5e?>f!1%@%5 zqO4+NgI+=t(*@Xig^T|S`Z^;=-qH%>f>&d2)B5_3ZG%9F7q;j7pvbwx_zx_83<9|; z;on$`VHPUKeh;#2{D3=T_V}?=!UFyLEd}KTgYxx};?wt2u%EGQvc93ZzYndy{n7ST z_RiQybmZR$KLKKTmBznzkX#`gxStZP_h({-LUafhDy}+M-gk6nH%EE2XE%3tbOtx` zdA>5+?$Y;MTxA(8nHS0cQsTiG#y$)#e1Bubhe3x958dRb$Tly+`&pm`mA4iW9;5IHMg5 z?7cfII2UhMc3X^tons;Osm(eb-bi*HhC}-u{>jZ(yOQ7|*h{ba6YDzBd_Au|_*XVt zjE4+Fs2E{_PzG112Ve8b_bbA*0Tr-lXBLwRKC@8;vdA4%x%cB^Wk{2%=HkDRTG{WQ9N&wTXuUFZd=AVtaXwZQXZe^ z_S0t<9$lRK0xgveP@bR4TT@3Dt{oDpc>Zj5f>R#RY=kD!+rzKv{T|UQ0N`U_cg>8( zA(+87=r-!MOv6ku(%B*29m2B$^7!Fv_?DSt`v~ohEsecVy%xzv?P}E^`Q_N2*NAqw zH~6|~?jz96AN~#7Zp8*OjSSCgl#y9*I_$EZubylbZkBOeKc`dB+xy}7x$kQ5>hPaB zyH%e~r$lF1r&DKbC*%v~yng(VBrs2>yh{9ITBxpcu&mKQy}zUdN1{TlwNbh|hoW4q zwe`9y>X$sClpa)1R6Tx_Jy?`If>gr(XaQ_S$Xq`5pf-$152;W(k>@f9sKwL+Fj;PjF z?4*LOSbno<0CB(LD(Df^y3#xBy(wj@N5U^Kq=`&C$ygy z_y*}UgI6hcE4H-?{3Zq&Bqmc|47W}+4~B`tV7dy6P2H1^v=z(&Jq=xkK4?cGAhD4G zPxg`qhuX6R+((Ak0OqX{y-Q778+MvDM~xThQ>;sQpl?pTm`?^pNS5VFc}0@O#su}; zSee1D>WchnsE8wpQ*Mpd@|C>ms;Iw(Wm{TvomG{>6vH&1b)p&GnY!O+Jic*!hs(6K zlXc*QwaT#-&RxXQlt+@uAOH_YAKsZ9Y{2u@jNhazcs_mC|M6byFSIncy>DI5L^&ktrQ!Y%OQp`T7E;flJZ8Y4KF9*I01G^pcLoKk5 zF2EqLRYQ0w5|3_4Euf^J+t?lAtqruTD!^B6bnq+RK+pn1#)YD#9)OkMBge=iM-B(j+7OH*T?4_`WO6B!fTO1-FxGk}m&4qeaiQdNe;IQx z48CzjhrvZX3SaNl@Zv*zX9=_!^UZpCdG(B+*N@<@mWF}5=O*cv!KhA^_ojfW_Zh&b z6V3H%wh6ExwtZiG-*`{5WS}{Hr|IwfPPueh7Or~_bsD$O-+j7kFpr#D>RFN^Z{j-M zFlBkYZMiJ3!)6P1_9*X-{7IDkFvNarzD9*`g3yY-wPPQ!g=fY^Z=u=uLWk#t$H{fa zac;3vIKB*$W!vL}tH6PaeQ5A$4SHnoH&SV z{Yszb|L$V0m_{`h*Fx|#L)=tCJS?GFYOMr4H&@wdeO<`xPwZDWzq9};xWAjX`s1b5 z>E_mE){fSBjh(J;XF=-6-L9tA_H=u;)&^&>|7Zqh`RW4|OK8dO4s7ZF9<XpBsSOy-5Nm7eX3Zp= zjYbokD@Ho@>t?`>wU;$Q8!IkA)|Oy<4j?!2 zf#PC(efhZI0&lIk>B?ez-Y?)jwxRx|PETF*f(UQF0myL`U_%gJP1fAM{muJa*mg1S zX{)Zx#1m?Ny)HkvMgeNi7HX*kthE{JY|AlPMA0q4{#Qr?vJI6giWTf6JV|CqYGj?L z<`uF!UjvJal!f_mFLDi*sNB>HNrZcJ^M;_<)%Al5v=-Ajyti~8UG}67;dEb0heQW_ ze^@_Te>|0sZ3|TgYjmd=HZIcRt{-y;6+BL#RNsv1lN>frIhteL{BlHw3oFyH&1tq} z^up?!~CYt&*xj!spg%ho&(GMFd7{@1;T4`q`N1%a2PhEe^{?WWZgK_tIlm7lAJQi(A5v~`&N2V3X%m!hNKS}<^2^ymXH!ALM1!1;wYINz z7Q^@Hep|NrQbVD(;q_D7LBa*IBTu>)Wb3;sw36+o($Y0&v4Ouc?wGiFf-o2iow_A} z6jbfFxlVQP1M&yJ-8Nxeq^fqMW(mE5Oax|~Ms1U?n zB!M5z6nW^1?Y4K~bYqV}%KIjLoxcc!6Srr7JTt`XV>Ml)@!qgy3vA#XQvl3Y&fnxn zh7>?z=F0Angb&jnY+)QihfZjV=2IdeTDv++=-8bxvg$Wv9; zqE{hx9Si%m3(fbACFJyb9Gb|n#Vl&L@`V^=sZ*}4eRH#VYQAzV9hg;}faHZb$wSfW zqP`mK-4m{k3x*4=zcM(1?-#YU#Id!1N0uMjyHWSYZ>L(vrxFZae$7b6!5(DeygJ}+ zJ3o;VgdMbF@JczTsM$B3vc+sEo@+J|z4PR!HWPQzi6D$_1m}Z{oU0(uO20TE=>lam z5r1h=AL{jYd~i6QH6K3W5dLUv9WXuKcRoZ%iWmjE6W1M*V>2UH!23HpY!-~8E7e)T zE!1RFKsko&q9a` ztF2^D`eTG=v?vh8d0qsKKcOV{GM5vR3TCYK4Zs=yWUTZ*hYJfqWc+ zr0S%b%yEl8x#UBeDd>n8GSZ>rYZO*u-$<&HJ4g4it>e|3mR}xnakAVl5aSRPx*}Gy zzCQYUPIcxSns{%v%@+%~QN4eCX9GA=Gx3*$buqdB7_aXIyFDZK1b^s< z@2qQGPIwby;`uSDa+Ng;ygh^~;$lcO-rc?&N}rgwvuANX#ls2EgQIBps71@{xC6ST z5=V`%5VS^PIo+73I1|gHo&7Ou87>NIlf=9u{S`7LXm!VwWK|iL$h#j36ODo5MLNKv za&+?aN|TT_G%NyNBkcsnt~tzrvcTxVH0ZB|}xWY(2j_bhliwe%MP{xb+FVx4|%#d6g4?e;ksN0liUInYHX=8`0>^DxYz% z*?Bpd!-XolVpGhB9lY zhH(9@ik)oR;;-ht=rTqHJsEm6)?%DL(Y6P;zDqvSC@OkasW(g6dVQ%J=gZsF1qm)5 z@g^hKBVK>00y|FQJh&fySs%e(67!&SsMGHi;|5Mg9kWfIv>?Zs7YjwLAr+LWkgXKS zf@fPgkIpQzreFLgHT%~{h; zY0nKv4-DiF%xCv?Hg@MLAy=ns3zh3^e}XXEVVyBOss5fzPOP;NlIq(Zpo=6Gh1p@9 zT|r4K19?@}RPS8m$T7D{rFdzFwbe(D>)Ezy?w#N&Vss+d=1Mob*yU=?IV4wyr1E)g zK!%YEj|GtvZZ8jf`sp7$T74-aS?hR?j5(<5W+Z+&-u#-q*!64A%^{l^Q(1<7H&q$G zq&MtJ6Vq5*Tlwu#&3RjrdcFf`+yX$mL>+R)4+um0J5S-|d(*#kTg{bxKG@ zV@M+eFiR_%q%WmoE>^iD6TjqA@42`tZ%o$<|NAg%Iq-)4FF^=OmU~tOrhFA?(u_T? zPMZgX<>AyBToid`^1+^(`ISV4LXuozRa?BYQPXkZTaGC04~CJ&8O2-4>pc2X5$O}^6fLXPumRYw1T)DJlQPlH1G*6awzU=Q zX_MQefyOOk7>=ujfe5hv9Fm7to%_e#LOf5#`?*IfsLk234+iPu?Q1XIy>-l(DRf-d zb8{4te&{#3TUKLj+o}dI7%yzE7zHlpcrCT;^tp~b@*&ed(%R;;kKTpyUQ@Nd>6^nC zbcbC`Ll5?BRC8JMI*G@*gUqO=wfsu9Z`j$q_bSD#WE(KcO)CLYKugMd<_O=uzOSXs z6fwSdp&x!>v5h}|$8k$-SXM->Tzc~!%DbX)noce%n8LUF|r#?XT7Fz+SIhxtSYlX`!LYnd>+K4@3 zP>t@i{e&N&Tob)akHW#OV~yE7I3Fl@dGxZu+R_oxF*TyPm(3(R@TM_ZRVXXo3Eh94 zjy%21%`iFix%yQjds7t{AXBJK6_R6i!qJ@9BralUZZrL+oYt@q^_+11L0q`#YNr}z zHboCM#q3fe^{hFip2Lz^`z#*p6#aeUThzhJrSQ-p%F@pyT`5?CX+>q!^@y_D`;HEP z#7WRGasE|`#z~zKGqEQeUUH`Cws?u)3nO>Q*x2S@5B*%>h5k%XOgR3Hvy*np?W4K? zM?n61IUVINLCO*yN^U6R{=-`%srSUlK`ueU8s33FM8vbpDSeI6;S|roF2@k>PudC< z$KTabZSeHy1mP2uyCfOX)Np|IOSfe*DF0d-5lx~{^YpCgF zd=Z0kM{E8;&~05IE-*h6$*SYg3u4_-^`{fFpx+V8&9qg1U?9~}#s}CPBxLSM%8+LP zda(zu|Ae5oVY16Iu2?_IS$wa7^18Q&mIQ+_r=@0Bk+2d^)^pOGbYVng7NUsEh$%z1 z!U@$7iZEiuoi>`f#o*MT^nT#g6$pxTJ6((Qvzndt19V#tT7D-dr=vG{yy%>&THqU4o4g*72HmVP zc&Ecq7u&WcrK0Edj(DPgiqTXUDl8Z>v=<#Y(&v2eCaEOe<q2+j{GFDajZ;tejR3ta_*aTe#DQ7Unwq0MPb6CPiu?Ce&82|lWEOitEyp>uodHc4 zzC{nw-@C_az$^#GhmWM^_bEL}*uvBNQnRRtXX7ikS~Nkg;#p@v>t&F{7LBYe zp~!Ls34Rl7&KG%G^|Vk5GlOVd^d>v-(`WJzRc@BQoa0;G%^&U1Ff4;QJTM--;>FDO z#{0AOR3_UjkfT-Ul$%4d5vS>kMVv34z+-y`vJqZNvyj0OebVSC+2>W}=*)zd(E*nI zETdsG<%~-1`X)&79R9!*^GYEq(eXRgY=HmDvHRj`qvi}kH^nd-8Md{LcQ$<%PMXN2 zj>xE~l40yS8&5b151=j%b?Xj@;xU%=v(;YCFhd!Q@7J$D$ei?9&ZYI9-Nr=Oucg1- zDVlY)2OiBBVpCRxyF3;iXrB{P$a1UOw?3jX)p1EZlztFn4fc@<+pCkiA5VaT^Tcc@ z@*}YqT}>AbA?H{ElG!p)Gl4XY#|dT}tz(#vT9gEF4qalFEXilNE+8fl{99r@+kWj^ zwB`ZxRxVIhKe*86A@acHnZ9HVH5cc06uRdlZkWBG^M2G+JeCTe7nAJ>4@*{9w`SX7 z@PO*fvMWni$Fq=Lm_*(tnaZp#gh{Ja|Fr+aFlR!+N5aZp{OT3pOoT1+C;iu7=*<0? zlr)p5k9IN4@>uPsd3b8YjGqvyh6z7^4b*7mLL>q7>Hox%H8v#>MA;_@T`z?j1SftDDwNYbED(+Za!z3UHyl7?wKOT)wm- zH=A?~9eLUdMCh4_^-bQg^_^kr<(`o%whdpU@$R=%cVeNv4m^7D^N=_ih3vi)45q0u%(swew zjXZd#S*4* zb!Q7!sh0s4CQswN6o6LuYoxY?ZX*{i?(MIeubZU_<4zZx?J*y?@r6Wdz8g2(b$p22 z08+%_?=+;5PQ^|Xjx-u{8cF1b3Wo}bRU5?{63WTT$;;m>oRoQ_=aN;%XcDLVTqRuJ zdtBLWS^*9O#I5PWP({Kyl~ZJX_v-iR%K3I`&51PH5$d9}jZW3sa*Kd@0GZlaJg0a? zBiR}Xs>AkKSK5MyP6xrro?D{%y7em7?$m3}W75x~`l){M zLC*KLKT9YGZ2RDwwoz5jtAG>8S{drA|n2#^lFf_tY+xE{QY7I8E_z zYHT!`#P*u?{3*5Wuq?jEuH|vY!&rr{iQFzQHbxy(VlBWG3D;Y4vi|6ofNgYK<5n&* zwO%dKY!}axkois{-L#%)W~HL+cczzzX_<2Q*cruU-B7L-=aqIe{rG@>Hrso7K~uq0 zAvI0;S&+7Ote9D@pO9EIN}fReUV^o(g~BqE>q6cw`&2=E~db%@ts$hStjf^nx0%m zX>|h-ALwhWD7*Z6rAX!|+KD}xi2~gECrC4t9{R3etqo#x$KdQi1SibV0m!IXf1yFZ zO};b8Ct)%3OAZ8NDYgb(%^7wr?H;$hHzZ?!iwe(#XLb_*O**|SI?{dmh{N4A&^GM2u;c};AN(9SJO}Drs|OM60Y8{$r#G@0-7D*$E98DT-yqD7=jwCj5cr}( z=|$C^R{t@1tdS=K;j0;U2BWzcXDEP;@>kX^wO-;#c0&Fv zbJPE>1rFyIk1QzweVQBeLYJqHbXqC$PL$V0xPV7~FPr%CEA&NL=@WioGj9v}G&Jvn zrz|bt1ylN+lp6B~t{AMO{QCh`9surCKJNp!%pc~|KFF)S$WKdImZnU;O(E!oQfV6M z9#+W!?({0i3#Uwj_4MbmK_Jk+=b6?){oB!5&oiMz7ee+Typ&^(Ok~+7z^Srrs8jjf zPrbdZ$pS058}127xvA_7;;Kbxo~EAY(3%z`;N5{xj@D<(TN;{c*-0_ubs% z(^n(^!6s6XZ({i>noh-=go0+@wX2JWnqzI8rW%K8!=Q+>U`jsH;+dwt5%f{U)>xe{ zcoM7%xt6pi@>}r3f=-fpnGSQaXZpIov0W5%^Ez{Lar*j&u6N{`l%JfRTx01+<~zhi z`8rz9B`WifH=V~PY)1{q)z^zL-0Lp1j&6vpb@D5}(IfrQBLH}9JB9s(1fBFtkb35A z0OzRhce-(}#NM%QKrl|6T3ASDi)j(XX)(oNR{=}0Ux`cwpO9BXBA*m@pGA+GkD}B5 za^<33rhdD&(%Kb%aL&->uBWVzQCk@q%az+xZPR>wpDeDPH&-6)!-U)FC+~t)XYma; zLTj`z`OHJ57(QKKf=1UT-*RPpjv%j}QJ*%bpZS@d`Kg}qo33F*OEpT~*7)=$HENtb zH@z**!o6IVMC{2ww--YPmydZ}J7o&3$A)*DhF*?MhYK3_q=v+ zh^PCbSXF|TUL?;!D0CeJJI(@6E`igi*Uz9&K4?B&@Pe`wxoH$cDiaAl%y8@a-tAs} zD9YO|c1qhaYegS%O`?6>Pjz3T8@BoIH`>+Zm5?||;9^=XigKp^D3 z%^cGrQsWitQ~W#RJ^l{Sw-1&be<=PW?wrSU#q#13SLWJM(016BMO5#K0f1HSSL!$?!`DCoE#oxVbEgRTdKaAc zdy~lB>ITK(?i%HE1XXwi7R;8rB&hJT4_2p=O0>!+Dl3C2%Q9`Nay1^JgBA_x4P2{~ zsn4bzb()p>);@f9qq)8xPuh-Y?H??#cDS2KJh(h|aRc(zXuun}3XU0L{ph1Z>69PP0>2p3hZy_}sD1J%vPHdzDJXuNE@klEI~kCd_P0-j6M zJ_x$18o!%!%sDjXJQ-*%khK52grz3t3z<0l%r; zn1Ivow&f@*GWvDt>H6HGx@IKB=OPaN=(f;M~aPcBr zq3?88Z&%<;9L$5Dz-Rp1P@ntIyDM0M2co%y^i@L4bkX zn!YXQ(_tWyOQjxbMREHipnNj1CG&bBWJ&geNR8IS83ESR?x(Yhlc|yIzo5Ob6#^_5 zD+e(%@xP!BF|!UaCkMA4F)^DCF)J(c7hqxgg6!-p|AJg!kca&r$jm$f1-SK;~$-i>mSU;_2t9C^R+Xce|pKv#m(_A{U6NzPdpATp8u79@&Ag) z#q)3cWy8hF{f~Ux63p)?Mr>EMF%O3n#mvAOh@v&UugQ4R22$Rkc6=M`Ia5okT!}98KS^?sA1GYD zhm!}06AK4`BcqBEM@CaeL4!r`wt%BMNbJ%SwvevDl#%4^{3;}k=9{8sv&#cY&d#Fb zpv*l!3Y4~sLBHHRzIJr`HJ3S030UXws<~F!K^c=qiWelA&n*N6)_G29pg59QS)XAo zGthWdJ;VPPG99Z96)Ba5 z79*Z(B!-7~`Zu?Qa!-2Vp2ER`jJ8VgmSkcZ>dF@vi%I8sh+z76-z%NCWtxGXgzsi< zc(za0aYfY4R!fWBVdBa_t*Hf3_{YN_!uV;!MG0@g5iNypce&1n(o;K)2CD6bSaP@3 zD28m>^B)V`wb$_v*{>u^w+p=ktKvMG^Dm^Monbz8ms`b|mUhXn4HOHax1P+-PB{~C z7#sMe>HZrJXr+lt6J<;JVdmQdDVr=mvb*7mT+NRW^i_k*@G?|+**JQHzds%`TSfwSC zZBhA(Ii;GiKqp<~v5K3C+1`6Y#4U?4gJg%4wFBw&EFmw5)!vEIT6B|HLzhDHEIqDt zf8A-0OXL;0T^E>d!8iGIgWv2JY&pR>*hk^9AS0_m%yb~;o~&h047LY!=!=mI9dS{0 z3h)+s(HVbd33@UPD6kNUrH)OecIj#R>{4gU-yj}5*&2gV%U86}hNLrb16dkYpCDg9 z1NeB*fHn|^_d6XKm=Uy*MVh=|3T?+^6Bsc9->V=W-)71TD}oT+Oys$+VE5E7cM{$Z zTet|UFComgidFh-UFI6o-xaFT;^w0cF!*E6z)-2CO%eVkcV^WpMp(xr?IAC16kQ8; zxEtS_g-)jP{Ce-meDyz(6;@m-mP`m%bD1wsDo^|CJDMfyy~*`1heb-~d*m(KVXpr`pW!!Tj21j2+4tQhA13kcrx79oLcU=mGK=rW~;sS!(!;&mvU+$OC9~HI2 zf)90}{6kvjr2|g@rx^ERo#Ju?%^SVqVve1#h1qqHVV{vnk{P8qjJc7RC9lkI6`ko< z3&=C}u+n+2HHHFW8VXg3{Z{-2BFIfPhp@wjY-go4c-`ORtuVD3qc;jpL7%fO9EV02 z(t!<_`{irgVj4dXcdYKdgG%_(W(rFH3JSws_DW_KS0@8pr~;&sa{?qf;Lacr-%<*hijVshUv9FpUlw zX0E?2P**SofQLTEpJs*Xw8s)2k;PxUasvp9E_^r)LgsA`in%KVT#(gG2<~dI&j_$5%XTafNh;|4%lCXg23%2{7x^S!Gs(O9Y;`a@Kh|e~lN5yud z!?5ww`?blRMeTu~;x(^%a3D&g7Y*GXc3psNh{L#BqdUcZo|d*-!)O29Zx`z;V~G{q z7Og4zVBwcx2VY;I-s~kxSuv@h9)@eKui74PtKiP>gWd!I^gnKdjeq5G>3L35@%Q8k zPtkC1+j+(}$8VX?ZiD%zU0}8;AKGq|ochLFJ%2FG&=Q?XT^u@U=Wk(&O4Sr@&vR;` z=6xTs6k{xlWrP$G46qRw1|*?Bl_Q?1-9gA*^;vv2BR?>@9s}zw<^Bn%urA z&89f*#7Im0MwcOeOixg2(4=?i!(dnKhLa`56Z! z`(3d&T%r&HADG1UG`*Q?CF1rciNIxWCaQ_L!FgvI+1yfoYUd9B8;id6;+G?H2Vpnl*v!{BK z{qCr?^zTOyq(NR?cd~2@VgU?sZr&oi#WRHGOy-B^mMMWBC6acO!C3nfOeJUy(4Zn3 z|4C-K^#fm0L>6p`-QmMemK>Pj7te)Q5Mi}J3+2h@;z4sTIc5;St(h6zksNo;+QW#O zSJkKCQ+q@M-ij!@Rnk(rTe2-V4SAvKKH$UnEhWIQJ;mG| zx@+FHwrbA6O>8`JM%HVr`>SqWM}k;<>pC?N%jD5Qz^^{g+8{!>yG8g~V+IRGESKj% zkzAGE_zmB>OA+ERJ~da(ARy+}&n>;*I7{~VkyMRm5WzcNb3nQky}^8cQzK51atI#r zzmnO?k{P$?9tP@#B}N}nR^W9d*nwAlJ1-(Q=0kY=s?delRdg5sNKz3Ed9(+%K_?y; z(PGLuuMEN0o4^0v9-hZss*j`_fUlsl=lhO z^?9aR6bA^fO(u>cGx{6-U!N(6gZXVoJXRkvaoY_VQVH@shPX&jjagb2bgnVKBD)Qh z*F(KLFPk4bVfa$F#DE?S{ty8Vcd{3;NbK)Jc7roH3Fkw{?g?0+2e=v9e=^5~`j3vx zlDtz)cBb90`K;u_v*lyN`Kj$y{9`-)!yN7Wvge;AL^SP%jwgE0F?kS`?-|YRw(Zky z>c2sZ4e9v*KA=}PK&jd#-vY;y+=Im4+omA*pzqKEwJQm1sXWxO@8Q`%x+W%F3DD8{ zN{OtV8oca{646m+{9sMO@s;ozY3QQpQ`pVvS|3`V8_w?;+El2}bN~Ww1?w?#q9#zX zgrp#zu6W0VqNKn3aYi>fs$b#om~x^OO4lt48bt(tq_>03B5-a)4i_F_v&inZ_|rQp zX|rgYnQ*r$cr%I{7e28)3z|*JK$6~L4%*E?0mulUbn-VQ{xS*W*CNE7zba%0yi7qz zPQDQ$F)f&c8iWm`znmxoTT?~YY*rE$PDg8l)r)H!BNg-D{2mH@bjf?p+M?ndh4%3- zm}T58L$AjTf_wzvw76q4o&4}l-0p;?2(Xs!f+xPi4~@*3Bv4nYUh{Zai$G0<6Yh(H=MK

jJHasxQLXT>6`3OndB22 zJRJA8jO%XrdYX0Pir1zxd9*k_R=WP?v}$XI5+HHvHXR5NtFnm=wgX=DVqF5aP4Dj-cPLz z$x#%~slp+)Zi6|bd{X1Ow)H_6(hq96_DlfX7Q|kL%oU9c*pwNL$%QnaBG{7d0>3un z!f?Nj1ml#3gPnG#C)QrgGqbon+v%0D6__GPX)a7t@zg?Xx<*VO-a`>lop&4v$}KC~ zqyD+;JM-L)A>k6Y2q8M$9bXK2mm9&R6~%@f+d6srYCB?T&hI#0(9!(_ZYT&LH0Y&x??=uC+2h19YjmHi{951KP!Effe3C@mBI9f zf#Iuo3#b3SjeKt{$)QkFSenu+=Lh{LrZ_%CDg5YlQYQp;F%rrUr|h0^M_dsvl}~Q_ zqyX`{fYqL7oA$_TX9w7Y@(R@Nc@}lAb1$zPpYezBNwPY$9@mlE(!RyKmTInij(bBn zqPcK4srFl#kpHMT?nuNllGOms^UMD@ z^1$n~XS!-qhuGIS|7zV5)6G;y?^zfHBVMJ03lDTyl6?#~z#Hq`{a$%`u zz}_v@wScQ;g2hS#_5gU6Q7`7aMK*JuS?2S3wvXeF#QBxFN z%CzNlsdh)O&k4MN{{0k)+k21S9jrZbSEAXgkd`s1331mKOLN9GKFF%wV}GIJ-)m=n zfZ8{CL18F#4BFmX%7w6v3Lex3Lx?LRY5BGs==E%>G*sPhC`L{W;$GQqlJ3|to_MAH z!21Mldx@G*>9UI;eoS!duHb51JQfuPFN|Im-8=O;V>%mbpiCq_KD~)Q#|kz5`zUQC zk0N*a69V8j9`yv9y~W6Z8c4V|Bgh|#=$u)w5Z4LX4eo#P36b6%D%TzO`0-O}RI(F= zti^B~O7u~tHn@(vSmxS^^3GgPPe!9>s2cL9Y5w;FnN_KqIKGp(Kdmy^7|Whl?KfdUZA2gQeZGjZj^M2E(vxX+O!jL379+v;_%}e3| zYpvvYF09mW*cT*h?q6Z>1Yz^IC#ggVGLqwVCZs(ZPboFud&HaD`z!Dt?1rH4i zi6A2<)uf3(P$-ee4epPH+FHh8I!_O^+AfPkHJ)#Xd;3s$$Wq zjeCg6bec6rzbm)mY1`XR@~!;I-;@Me>Fok@fM;eJ-i>Xzt&$CJXQ`fO8ady2A0tOi zWGd~?w=ydlld1Hq(rOqOxYjz?sWjZ}T}K*9Ae{}XM(|bESs`WZLD1+**6K1fHB>GR zT{Y(z-AUaKw+({pb(a?O%^t&erTFl47%K;7iyAyE$2ek}lF_I2_sL{vnwwSC_z1T4 zRL$k}v}%^PtumY@FMq}fJin#Bb*Sq!**)2mq$rH@0R)V$Cz|lS-ybgL!0i_hAs{1U*&8X3;g1(@Pngj3#>HWw3YLb9>EH5 z`!g$8xE{Z7F~aK?H>An_sAf;6#?MU!pz`{N%1*^ir1q*4^9rhvd=opAPFvVAFUhEP z^4PF9gO=WBfelREVN9sL!V^&@-rEI+N)ASnx%&FJjulu(bs6u~<29$ z7rk&v^Nj1q>j-dbnvAJK0<707wX7_2b8&)K^Z{R#vZlmVer^nMdcr zN=sXPJn?pIC!z_D;7p~{gmb|VEk+mG5`(>Mago9bxLGsOnHksFt}H1YM07CzcsW`8KtF%HrO&AFx9VHUKR6F< zwJu6{L%0jYlkrwQ z@D0<}cYP$fEK#Y0>`u%I1mdBo+V;FC&|A$YT?eBH(z@wVQeIN}PZNTwEtuN6@FJ(u zJ%{p8mClcDjQg*R+M+#$x(d$=WC<*KQ=ia28m;xkcGn5MZ(SF!yy^F>#>-OO>RQ2*kO6I$(V)T2>BnvNVTY%aO_3^ z{^)TqJx@&%#qn^BoP3@ENO3isOo4dwU-!4o7z}z<_OjF0$sv&fsxVa$jNdq;1Z+#v z%M!Wol6>I;k^-Cq<$GQO6~8g4p4;DqL>T|5l1EKqCz#uGZ(bKrIt&hb0qR?R@bjA* zs*b8@8`PFFZ6YlQI~l}pVCf)qnSwxwQ)MB77(n?!C&N!evi<3ewy|Nx+pkxvL0-JF z&il4NEWVol`6fuo!3(B2V~eSk6`YCRacC%q`0_XJL9D1sa^yi~M{*}nSjV1XqBF(e zVMw=A#P-{qv09{PX8ye$TKY~JK#0E-gYT1oXcV`Ao40^(w@6bWq!LG| zv>;I4fYJsEj@Sr%3K*j81@r}E19AYp0S@#! z5_5-KF{Ek8#v%6)d1}akA?AIe4p1vpnv`Po6w6{vrct%?Uh3@BBkID3!d+KiKqcAeWYu6MLs zkX`40o8`|&%F1Ul`a2e8UK6{)w)#a!0#pIymhyv2J)I3Ii|W*=UZ;6p@bF1NyRavqDPD= z`-!ABkh;!2Nv`fMpd`(ESH;w7@8fAT-hr}Uq}5b_bJE}6D~5}qwkE7FOBD8 zt);7EuvF_TZvfVM*RN|^ z-X)vI%C&aMwRXw1#(Ku8wUpFDEG;y;2Hv^KcZ)D91h^J{+UNw^l2J;UM>>+$rgrGW zk5U+dm2d>U5kT3LUy@%Uo1kT~DN@G7fu^LjBOR$7{Ai%bhU#eNXi6%-v<&~2E)5iZ z<-eu!SvF_s9F?noOP4JN%7c=Ba2gLd*>QP5aO9sYxiAe$A_KGNpA+e~Q7ZCu zZ60oLGCmC8C-Q%N$aY_+VTku&psE7sf2sg?s1ukHrWxO$){h3ZOa}?)rGr!I6n5grR?~rkkG0(Vy%4s51QZri9IFn1n zOl_vNOM9>LQ{P8MI_9pT6||C8(?<1wOx~lv&iiVo2m^d{!~M*XUrjH92%vw01# z<6HR-eu$soC;1Cu5`We-?OOeh`WL=qhSj*&cns^NQZKT@_szo@T#WNPO8>zAaygHS z`7PlRc^d2Coj%_nW316+ykxvbPRd5#BKW!GG=XM->TBqF+DCuH*rW6(`iy=6mo&C= z40vH@Cr{z2yc|^Q;;*?=B;fppi_1luct>+-NA(%{lbw5f@xC_S*FMA8X?TqnjU(!O zhhm*loWLct1PUfk>}jm?5}ly`rqfs>gfl?vIIh5cw_yGc`7FfABG!s0gaL1OllH2f ztZ(s6^ey&n@$EJS8xtT~8l13X8Vo3eG|Audma5<0A5?$;wHwlNjK1O|PUrr75l`o8 zuH{C)f|u}>yqbRtu0F=B2=F>yj8Z7O1#E49_y z?b;sg4ejr`P0!Q&>l5@^{Th9hiA-8Zg5}8B#IuV#=XKuO`J%6%ugtf|x8C=H@0jls zBg{BpoP=xIA2im`LeRJd`@ey1rTZZtkAudK>2vx5XZ|gCp>ZgufZ_~wZl$1m0w|vW zmuUf@kuL-Pn|UX<@qRwYFYv4U8o$NI`D?+Tz8_#Dv|yT8fIZwRb_%cf3*fZ)kCuff zV4yY#QARCxbE9?>_H(y(Tsx@?JzgK6Pt}|Bmra^!zUeO0R?{KVE2e*h*g|FnY{WSW zf=4?dUeHHrm(zAc3!3&1@s@D&8gYgn5o!DaR!c+tRVhlvNccwkAmxiG&b&3m5#kVW zWHZ;wImA68Uz?$4Y2ma?{^ggLDQ*z8^f2$IGh!ShZH0DJY!`F2t@>^HD1Hy|#tS-$ z2>zZ*sDwx1?B1p;aYFgpQ@Z@yZ!%f5v!=x&!njfY+$6NOUXoq;?B52@WAo+XYb`IA+(l&_k;sexOPPg+5*yBFBTrfr_sOoI*y0tp26Al5erDyB1ApCBWiH!Z*Yw0$7>;{i)lT# zP#$;kK{_Hf(@<{EoICtrD6=<;~=PwB^vL=I;My=g$hd{48R`xth<(g@?pknlP3|3T{O^>zAk{kOQA&cJHk zK)2Do^atD~J8*AhgO3-3o3mkiE>*vH45T60-6$Fjt0aH*sHEwzr?s$>3+Oj=B`oiM z(G%2)8>#~Qn1gW(=rYtV#SOKZ)nUu4eFG2mg(mrPk(tJ{hM3zqe2-u<>9U}aHN=F%Gnyt+Ld~-78Kz{* zq}55TiMH?D6FS`!ZQr{m*g6s9yF1+y2lO8l?TF5FL^~Ghbk?pNJnJ#h8M5mKp-*2J zpNLOPCiPqRBu~__u#;iJVz!cvW0$31`e!|{5tI^^@T6@NTSuimi7?8{=KbO#+zvjT zNJ%c+_m`YHal&@u1Qi#bvYq1Sm=X9lpg+u_782s@ot3R+4H-Oi(7=TFI8CJ?PSm1G zJewsFqhk_9rYLYa`!!^{ibmziM8B)o%P(|XoYtF(d}I}m zXfNn*slR8gZH+XwiyJ&vwuZ|8HEVq@v_tHmF!8)6+#Y=(`t|4!qrZ+eMR#xl3Gw`H z3v|C-?0CAripxovLn6WeeEc5$wNwZ??llauWs zyigUBQtT%EEniAjMn)EY=Ff@6CBCz;CZFRjt@LE~4bQa+Q(|PSH6|e>#AHiMh>af= zYnl)m8oNER50MQ=pWN$k2V@|Llh?`9yktV>cW&D$8#s%D1XD*y=1SIH!h>UChWUAF z#zhkslc@OGJ3C8ciA&s%XNO0|B%6OTXU=cT$uW`PnU8w-+oddciZd)J+8Tb?*S_N+ zU;C@!*68H0-aOuicrf30Rx|~u?HejWQ<6n;iY_S*jR{Fiu$e+Ytu! z%HwuC#N!TwTF8U%8BhyvsZ=l0CxDDuo&m|TGBOA;_9M1g`XiR8S)rkpUdL&cC2>}C zbkZ!F&BC+HX3O#Z;T)c9w^Ux6_#w7VRq^G2$^DOp5M1DY)eRE`4hWH)AtjCHb zXn2YzoDPDICXuYy@02Qh!uA;zOpr^*JBH}7XCDzwD_4TmCyh_Ezni3oQoy@*#|W#l z!}yjo56|?942`rziVox7WHY{PON-2xBXW&zJVb_y2tdhv10wlt@lE zW&0lITMRax!r@9?AK^dc(rY|3ML%0sQgUK)LUMd^T(T*oS87^ndTK_h9+I7vlhrpX zH%ku*3%7=chFikT;ieERt9NuC57}c=JnRa|^iaND;9+N!!^5e`NV3B7Jk(DhQNfD} zS90;VuBG9Dhlh865NUbhqtj!Pi{sLx6N{r|l8~MrQ{21VIOFl4kR8`68cC`RNpcjD z#K>Z&OtRw=B2Yr2#i5^;9uroaZ$*+IOKEY*4mrt}o>FVnE^(6!w3=(2Ne;)VMHE+5{3Z`LNs8a64V5Z;_)px zJ`(lQDEJug8LzpLc!+ueQKJdyNAPhSML$FnnV!3UGRhI`*^nS?kyAJ|!;2EBjyEvF7)u#H0j{zDT9+0GN~yHDAN{eter?@b?XV zt=JtpWH9;_{|sS*d;j8ybh&nmTDEH{b{zUjxh7h>KJ{bs6oF$slRI z{^ooS3`wux^k|x9=_H5UYM?gaVc^7@FqYD|^>H#gJzL=$td686X7c-8r^K{oEnv90 z$b@nO|4}IS7jpC&o0|PQU}*hC$@mk+DfV@?YwE74zoy}V`Ue`Gu{@D7S_P9>vb3c% z4e?Yc?Wzma$1N^16&UGbpNe>_#50r+WzL|U%aNv!#fyy5_Ovfoi zz~=t`GO+vrSmr8c5#=n1D=-%ZZ6KTwykasUyQ5wOIpYomd%`XS3kis-gYL>J)oy@4 z)Qfx5=e0(w79EsSqIEne6+w!1dRTL%^1WI+_ z2vw^!31Sb(9hcKIA7wJc-AvNJva*sCIe1N{g3`;m0m+b{7DZ8T)lwk!CTA@wqX*;!m26&P0ytmcxV zt3|UzigBi+U&SPcStO@bbYN8_Cw>e+<^;k*_tXRCd_nUwlmcV$l#*_7j1k88$7fm_ zPZPf5J3TYG5fp^j?d#6WX#6^5Poq>Slf{HIns~rcifG+zy~j%SS+TXjVYTv(pvfV` z8|WdMEEiI;+>i>!QyC-2igdaH(djr6G?GTi$%;gAmLQ5wAt(usSb!Ey38V0a@C{+^ z^)L=M_`_kaB{9Fxmr12UexJ+l_X!S1h%^GSXiUW8Cg3*?rR~`;IIUTu)R>WdP8lGK zZzrA&Lu0(+O38l3ZY(1Qwu4F9??2?%`1^3?sU{*j8f9T89*-P@FK&03%8tIl7Zo1r z+8r1Ca!_+b6K%SHeDDc*E4yool>3+p|2Hqy@^~XzFmM;OFY5>Q zX-?NX0{+%M<+4T>&Y?1Rj+|C>i?ck7Tnoje*%hu;;tKB*9#d`-wPmhnZs}sr zlG4?I+j6EtvpwREVZ<41qNg<mulCk3D-022SuomZy7@7 z6p?4SQmH6F3HO$&I~*ROowfSr`Lh&xVqF09Pb_9ck(ojY&JyiNJ5KiK%X zCOnRI!$)DC*FST@dFgQD8Qqg--}G$d|IF)liw;lj{I0lv%ELFF`|#zME!xk9F`R=j zT*89l>kjwm*6TQj*_IZBpg9%@m*VkYfHUeqwzu0uWtu7(yHwWAA}|tNK2O@|4El;$ z%23jrE|r4Wx<-`ZQzVs^NeFV$qctZA-kem!5WcCrWAtu`c__M%ZfCMIR zGBV;B#;wNJjr)y#Tyc$PCKd?p;Cin?WLkE*EKr}q!E z!=O1#M-SiC+kY57(0w5B0~C)BuzxUP{b0em_OuTXwCqcoKsKGO9T+O7AOZkHsRrGv zqrg!c9#xEAiXN*|Ey3rIYiOSxolm=>x0e}&RkUQ_0o>?Shk%!qDq%KuDo-36A(ef! zWOrp+H#_nvjk}?j?txxf4ZT!EpDXh&&|ak~64*Zy*inWHOkE#bv38k_j0h33|mS5u>4)Y)r~1!)In>xD4fY zCXt9EEb}oL`6GTZ!@t%4I@5hx|5=U*j}gCtzkxr*Yj~-2WCu1NwJUntrw;)0`D%Xv zW^1RQf1v$XUyS5F8r}DyP)+S_raYiysP+YePB0-xVtFh2d+526OPkS zR@2G50Qz79XsWA0Q?+ARX}dmhdxYfpGT+H_-?2vbY4!Tr_Fpz~n4dA}*Jcq>>tAXmK{%7{VC&#@ESqL)btJ(kUBzMyN41okM2< zD3a?h_frdV;Fvm7{cQM9XG|E5rn^))t!5}h7^dkan7}Rk1Zlx*%nW{v8sJku`0Z~4ywtrq^ig~Re)F1e=7EatcL2o+5pq45Kxo5;DzpuyMNlb>C@|9 zy&ay>6?fTwrZybr#<5uWmFZMXCq8@tmuYl-3f>9MlZz_#BO;#JXM63~4#GMP| zLgTdsOOva~T@I8(XJ|Vtic4`%3v>oMLvFg4;y%S}X<-ge&?tpjKG1>!fiMb62Ey_% z3MoVn8oUC{*ST99ZnxkFdc(3L$dZ>3*fUa|MjvREkW-Li_pco`@p!Ncv;;_N|8QM!f`*{eD#s>L zMrvcVIe0J0GPmIZ+JIfVSfl=0YYaXk)P_(f7;-@o>LWqL97@XJa3~ZA%9!S-1_J)JR>HYuKgs6mTI5{LY_@2e zvc(|RwY3F9pRzbR)+u!j#X3bO+3Pmfy! z^K-NSrPJOM6EdJ>S6&7GC47f~yUD(h!*U=)_AtCLtQ@2|3sQY0NOcDHD58O2V?YX!R|qy^o!^f| zzsBrfQf6xqY&Hjs;AC2rrg~i8kg2avXM#zShE>rQIK5uO1x-E|%LD53cw8{J6Cs+9 zMGL`bG!zUb`~(X)6!5!1oUk7`({eHyk`oC~i0hv6yJXM=;HRxqOxSEPVM8zw!eF!% zKSCKLS+Zw3Gu@e<%;wC#j4tEL5-ue8X?3U2?d)-Gb{=wS>`v^I8pmETWbAq=0_52O zMpqiN$U#+cwpSIWI!nuJ-NtO1&h*)_!Bt%XmJyG~HK4CzPX z_}gV+gvyF*$g+Vgcc^_#B74dEUZQ+mNo8FK3j|9Ds_X%!M^lDxa{%$|w zJ7Ga&B_pOzzMcT6L|4g0bB)mM;heaje&n zB56yGPYOvf=N(7%&)Q$$w+Y*v+uV?!4E1pZF{9Lz3ZK=b2)c z_a1(W^Ct05?`@J{l5>)Kk~r0Sx-`c=hd)a&GNZs)aod^>3M2;Sg~37vO8iG#ydk0;l9BSw>zb>wVD!| z^6s*wntJ;Nzfi0W=Xh*`$P%N}$>)rz93#4F0{99!cyK92L0=?vqL})Zf@aB&Kil+b zY{Paudu!$W2Y2JimEXSmapg_=GkgSX z2Td{;G)WvaqC<*Tcee@=x;bNSjhZUEkoR3V*5ZDj%scqzpItwuDIy zDW$7O>Ey_$8T=F#8D$tQilb0cuqVn1l1O*~9yKJvQw;cWjR2E)DSPCY!(Lsv1j~Ww z+EK0xu@Rn7fcFW-XotvbFH^=;S2h26=tL(i+uCsNx40j*0nBDElhJ%j3!Tl3;>Sk% z9e_YSeB_rBG%*TE><)#;Iq)(GFSSiwcFem` z-OK}B2fBFXYqGT^rt#S<8Vm*6*;MT_@8-W)EEw7GZQ$()Rc~io*b>+@BD(6V$buXnKPEP&Ab&5zz(np zF0cuwqW6{5DTUMhorSK#8u1!&qi>V{`Z2dnG<_#Bc>b7a-xTMvMLNIcFiUcKd(n~XFh`8WiRYNF(`2u!D<-2I zoCbz}lufp^Cc8sDArkUUb|sZ&IW8*`dK%U@Y;L%x;a3gX25Hh=J8^huk+5s}fqpR9 z>WZ*B16Q4bOY;K&`l^nrM|E6PCl-8Dy3RxO_{ixs>R!5CAwn0(s;k`Y>f}JNx|RlA zP*NAusw>OfO{z&1JXm}0RoC5@J^g~k4^KGvyo3MiogY!|sz;u=`|hVFPingLjq}g{ z!y}Jr#s_F8{V_ym+^*R?KYvys><9$wuAO(otDBnWp%3X~-1+}@_p*r>h1|aQlqpwV z^*r6Q52L%CY1N-9DZ9~9q7yo?K)8iYxebBXx9jG!=ISn_!W)+RQV<44)+M<-tlf@<&IYNx9NOK4W6z)f zD4_7**W1E;fG#=v!Q#f&_z&m~20jk|jeM#5(*Je%n~2d&G&<}zhp+bEq0u zgI5+zvfv;=z!R}3cyc|^H^h!QQ8!&|&r80L!O&^!Huf0T8#f!ZMyYui{_JA&EL3W{ zsvBsA1J8fKuV7lJw~Hy4w(3>_;P}v_SIw1hiA;rzz?0m-C%;(n=(^KFKAR<^qQ^U) z|KZu2E@Cc*TA&#}F!Aw27rt^Cd7e#qF(+c;buXQM_X1W@^NVMIgl>SI>L3MWi%`O2 zqiyk0hhpgzJF^qo&a`xkUD-3+=3ADF%d_*_?#|xO_KR4b&=>71_I2zMc13p;cXhml zJ}G`y?(g^teU3lpV_vvy9t#}~p(D=6;(WAN$m3|S*dYi)C|Yzyqs4rj7x)m)yD-iZ zuoJv&mrYJtkfU-$_Dz&K^g6T z+Fk1PAT- z>7-u)Ut9TcnCAaV)yq75DxNrxQU_^Sgq%AtFtpwV7n(wcW`{5lmMGQ6~o53F)ziX{L=W+yl###PwWoPiE0edg|VAs z_s29}MZb;{UDOzNN2R!?27gOcXyuF0yfv7P27{4k&=-xRo1tVopT*fe@{(fCXS2=u zU^yViFhy-kHs(_b0AJK=Ht$0Ih~Nxsfe8N zMFoMaYJ&NQ%QNyg-$!0}66}ZU;DJ)?Q5gxH!e+XxQW&n;ruQGFkoj;|f7Ni%*_D2t z%}2Jk*G%!S^~EobTWvf+i6!akHjU_TJ<0e^7$RI zWkLkwPTYgn<3m`3B_H&v3Y`ICQI!PN%29$M24&lpZiENh#crgN@0oNGQ*DzoY8F5o9FV# z#q-FhH=6Zx333toJ`B`HER#-jj$v{;*CN+V_nd1(>v&w2cQuO}8ViLrWP zm#Ggg*j^vCh>$9gSkx6l7PCuFzeeZ@8zUR&cTzC+Ws=biJm&lG_1n{_4YmCXy-a+1 zr&G79oh_0B$Td0HPMuC<>tUN-8Gh zB}F<*S|F{Ku9EalD?i)iidl6Q<7}-qW)TC@7PmVV;C@5;@Xb#JbXJRr{t{R>d$Y%W z#ir3{BkoQYc1giA*B@Kl0i?(#D$7UuM=W%p;cK7nc*%Lf8cwy{#f_^oyDXBRl9yC!J5Y5u~P_+kni-gQqBMf~XN)9+CSk{;hd0c}M$a z{a&-~68%yKSwt3TmKv6tF19XnEOIXP7~E0L9yM|>(kxNL_5w&{mI5B2sbY1P9-}`_ z)`TvAhWC+;idTs06uMJDfjT|97j&=b_UjJmw7Ne0{&sKbk)a(ifExP2pQn4qYI{VF zTXK8`iQs~}zy;@BHkW7T;QPo4n#LM(goYLzK}M*-pcu+5(n(zxjU3>~Do%T-Y<59p zFhQiJk%Mlq3kv44-enfxAVi|e;Ti9uk<&%tOyA(kiU5SkWZ~gVh?qLVwTZT&zw@vQ z#bc;cM1?%k50}LDO8-kQS3bqU%P(Q)Iqz-V`X2q@U%gm4gdH!uh#i$fzrOn~`|i4P z|2}nhp=J)Z2BooEab0f18DppEVqvYYNw`IKms1~z(V=V(?}~@Rv3M-tckd*R0wPru zBU=lK`O`^SqqF{uM16fS5lfqGF80$$TD=uJk;}%L63KBWtuvMRs7CJ|=Z}pG1pFqu z{*a#NeT~Q!N!a5v;v3>O#J9!|#dUEhGw{=6@~SS=sjGBsb0FKYq%I*-9XaNoonm=h zM}MGW2mM8d?fyg&0OtN}4qp-YdLHX`N449Zbh>P!5MaGafAY~?+a7pq@%YPX2ayW)bzi~Cf2zN_uPEWBA*jRblf-l;YyMD_kzPio}~%_ zdZZt$`={K6$b&voOg1V4*kCh}=LU};D`+Vb($F5!=h)In%p~%Z|ED^0cBhlK$3z<@ z0*P2{F00jMwGbN?t;B+D_6YLmI1({iOt?!k&TcB3dQ6bfdZV(hb7^*a3BN z^T3dyrW{x2Kj?A>X3(9eE&!q95nKS4f(roYTiaml)$qReA6j^}UlxQ9*LG6q!DQDg zc8UArz;&dJEuIe`vU1=ERVmzs(*{mq8`pPDBQLF_#48BnEtLUoEZC-IbnBCQg}pJN z?;HHf)1i_W3&e^cI;-RYA|6X*9RknUwVAm}Ie`JodY?oQ!(0V0vsa0th!556$y)Yk zv24;=%y0Vk{3J^o5LonPwFh4Hn9*8 z#XxbwWi!1#t1*(FP>;WmV~yi0w~hIZmNQyF?dB&fz)M=EX*kj46gkbbR=i~16<$HG zm^kg!*2=Br>A{l+%@!d^RC{NSgvt)=(`&#-_@yeU2VS(-I1-b zo!nY*0HY&Dt0c`Rp4L(Ddp!Q)#LLc>B#WuW=*)P$q;0B(Gg<|~TR3%LbcnKRFyec9!0?JHK-XD(QnIDLx6aH^tVKMxs*B-%5HM5dja9Vj*d==288nEJhy zD=%C;dEyV&wd9vyEQn{#BD%5TXF-U~n-lWQS$FZAITx?v7ROAsR5mNe7Ngu>c8|&J zetkEU%v>(VzrLH_4LTI4-IN^uVfAoMP5Ixe^+!}bp+1agS3E|4B(JWTI;*Ltn%0i% zN90GQBae^FCr4brc0cazred+_W*U7}Xf8A-C{=0A!`~x?=H>!9i^c;!T0nj@RCnOf zreZ$ND&t-BSmk^ge?=?bOeyXbh?*c*d9zSx-Uk`{Z$QkV7yCX$__=(pG%y9IElo{D z5~)_I=z;iZfarSZi~^1Y+2jKwvTxe|F+{CAw`4pKG#n7s%m)C{Z%c9_t%0) z7#8-^;C=A;AWf3qk>2s$Fd9a~Xc!HnVKj_}(J&fD!)O={qhU0RhS4w@M#E_M|2O#W z7!9LgG>nGPFd9a~X!xHG2%)irzEhUH%#QffAMj-_E)ZFc(gfMak5TPSg$lW)N^6GI z(IPMTW0lsS0Qs~^>(TO|ItJ9ld8@P$1+?{5+G^8jPp!Rq4qKg5t29Pt*SS@iAie9S zRhmN)*On@+8CFM&EUqW3v<}%^zpm1H)H+nhfV|E#tF#g7yjG>Hdd~G{`sPIq2lul0 z_cNOQek<=k#AqEY4Di2j!<)KPzhSgDdsM0DA zRa)htN~=6nX_bd6ZMAs=8Ah9iJ-?YgTk2FsTZWa}D4m+gX!=8?w$wsKJAoEbD;ez? zR^QF|pwi;7a*3UL6{G#^Ug|Z2!|H^G(Fs=nXN*oUdMl$F8T}}w4a1(_FzjBIVda)u zTOLFalt)dd8MOj66D>iDAf1kS&~o^!Mr+XuR?@-VinxNs^PzkxtC58x6VNgU5p))m zT?FT^MyptE5v263!QX>;A**SHFa>fKLg^y321+{F)tAG4Yxg-FuD%woeJNZg0@v+< zYc53#fL;Lf3OKfM=suC5r*A?9pyZ)kE6T8Em=9N20d*sA-}!JKdW{9>l4{+lkXr(! z^ysDVjH`y)MES6kwPV@0KF?ytiwK$s`3vC)EuGIeaeN!q>-1Dx8ew<26pk)n?WMWJ zaP2j4?n+j2Db!lXI2VEPT7S^@$x`ktW#=ttydB5RU&LxGLf?gZQcf>qaisc0wYm{j zz6wex|5gn3;IVeleVTfxyOg!6XNZ>#XcnurYN(AZaECD<8^>Qoy~Yf5HllB8_@5mK zCiWQ}4garq9 z)Zc|#tJyJn)`jdjY8)QPt<}{t)V^DJLdoKxHe`nK$9n5T?yO+>g>cRS$Y-iOM@NWy z-^|c`Mz&xn>!me}PYakVpNwZ~sx4j0qT2Iod{NWev<=Hx>(&f$`FN?$u%4OE=!O5cz3YH#B765~6hTnLf(kl- z(hOljkzyl+01*h7gr*{fWPnJTDI(Y)DvG@!C>Br=yCN!fM8v+>P;p%gHpGGoxc2qk znIsTg*WLd+XV3q=b2O64ZD0R>-`qPnhD?Fma{f{+tEVNW-*;K|4C)|he!-re?ucoM+35Hi{=TSPva+D z)F4p(dOu_~m%VpA*QU;7q(=5(yFz}wDxHWFY}M$`dk{;cB<=waw9#MbF%e;?h* z-=Le$_TR2Xt){_KeZ6&Zwcr1=XH9!0()o~#;k^{&lGN5l^dbbsEscj1fLeQn)kvL) z$%1Grm8#8``dFgzp_|s@3M@-RZ8GTcx??Q;bF1oCc#W3&m8N4%w_73&v!nQb=|^2P zK=02aTFW4M5(uz&lxMqLCV&}yz1pGt0Um3RUw~<#t9X7LP@D%SN-@Ow{vEI8&Rkbz z+U=vOWbHQ6$CQq%6c|=$e3hux`};MQ_ZJ?Ow`s4yqEv!W*JP2V^6FRg|7`^5>RSko zfsIDsfQU_J}bLf0~Iu^0~qpvJ*wvN77>pf?O$8QOX13OeYC!hX*X3m1%` zpoSj{tkT@SGqp8QBPkd3Lv0#%l!}RQ)JYZ&T5Lc+L_10Yw3!$^RDP6y5cZkXCTEaV zQW|C>lrl;a{heuHSPSiq0@p||kAv~2VLEH1Wnnr5fpLv43`_!)GexVbW*%yvXzd8< zBUHXH@M_nJhS?wllcwE%ba0IXlB4v4vENz;VROPTFKT86VCzvGQEkJpR=ZXjPth@L zP^+Rg2n3(Q!B23T?bz76Mi#anwT`xn!N%KXY4oFM-|3j;BCwXm8+5G2#e9X1lC{2M zV|skcH3o}B1~!X^Y0PPhkRU92HPY*%Q^O-dPZAA3)VKPw=%O0>L5^ss=*FY8p8m64 zP^;50o1k)W+Bp9eWssX&A$OSS4RKQtC|oL$D$`{MM3>5CQaMj4lu9TNO(cTY!sHaC z0%9WyL_P@-P#`n25F}BKq(KofM8ZYagz?g)DkUV6CJXrxUn)zNqiZ0PJnR8Epcij4 z#O8@)DNqPc!k6+>LGMUuiUbN#2^1(NZi-L=iS+3tN##(0Fi|Ar^F)xABbXxvOd*9- zCFdjHlB7)I$q`5;5g>9%iR!`RLSaHaB2geiAO(Uzh&T}u2oM1z()21c;a?PlcpOe{CZ+lB^Q(42q(E^}6(r`RLn@$x z5;Ydu4JoA%UyksU2pJLx6*6EzGQ^VzAemeUM)_b00*E=ojdQgu#nN>M6her|4vgpwvq70M8SkVlcq zlikpk85U?s)&I6Vakf9_wNIDQb{1l!%8R(4K7}yGU6fB3Ni6Ge| zs8M(rQ0U_9pKE~%#8W7wd?62w4}p}g5(AI$H2EwP0n0d{6gy}OakNNr%^8zOfB=F* zjUT={4@wg%Q_ya`a3gEO4V7LuEE0k^)$m4X$u(FBIABSHYDk8}Qh_iDy&{-FWGbML zA_YrWKsr%{Ca(hR)J6%=&x&UjBV-WlTA(nW4nh@tDN+%(DfDa%LO&LrcG|6P`k)j<^ zr0{@NiAaac<>@IZN7*ZsAee-}MId=KiT)!4p!pWUfH)CB+!z|00WmpHBs(IS8OR8P z9BCZTb|gbFOm0X-6c++B*fbV54vGkZXskFWl*tMtLyXu+HiN@~BG?c!JTi>Q0DVjr zJuE7a$qI%7z&ch0s4Gm6iGV0K0zw&TNii85lvp@}O%DN0S^zVQ$&DjJK};?Sr5OZ> z(x6Bho6Dp}h0)khWE49xg2Mpp0|8kUlNH1UTo~aD7MB9JfDick^oYnfHZwSc3x!041u{Tq00T%y3kYLqSOKNzVKinq849F@(}Ee;st7=ZjZM=^ z7Zbw3x&S{K_)F(9BUq>!^avK04Vq-2C7au}G=|AxkRckI$w3Vg#Et;uQFDS75f~A$ zj>XVWK}`*H@CcZKwxc+Vc3A=$v@k%6gD%#eOfds@EmCX;gziQp*iIu6myS0B`-usl z-H7dlbmJWD?nR(E=O(TumJ*K~3=qw7PzDNPWFB+1&(Jr$bI!@-XoO)i#@A$q!8M23RpNGcf$ z<0&OHIWH0H)BiMv9-e7tVD#}A5Ab8N7F@e)*xBU5YMZ5oom{d*vfr8EjR=Km8#U;f zPQc@-rf?@igF)s*LLUPh%ri6@WQZr>)!qa=sgMJYg2{S4)*Jd|TH}UduLulmrI-=X z;yfIE!M1wDNZp;j){umUT5WqL{r2QVknu2Rb%Ll+ZN-7rq?53kxUG;#z!M0T=zkD) z=x7&K%)=za2NU=ZY}O_%-T=szhKWgxA{kl|qBvAb*aB@BTbji16e&VUvQjFcc7@H+ zP9sYrHX;y9B?4+c*c$CIvFy=)+O2oOPql^nqvJ$NtM+lOP>i^8lsvHvilo!ve!a}7 zgJECTo9gZ5<2iUVX!__i;jF#?ie#N(6Lj3vl1Pi7Qyt-fnpQsvT_{73rUN+)h{0j` z4Gstz?CKuqpVhjYFQ3S3b|-&T_E^(tu7RD|neDZ{+q**D+-4kGZT02E8Tx`V;ojEX{nqt% zYbq`BB0VbrVH== zjJy6jPe*2qU*zA_j_|np)}-EHP3O8!smeHNd-C^X8FmQ{IqP117UX2%!@f?r;W_)v zoOts5lj7zs<(Bqe!tFB4Jsl1XxV$f4{qFwU#jDq__8u6t#?7puB^idM=KXSuN}CT@2-(<^ ztl*xR-R(W!-(m;JOkVnbn)In`(4H!b^H;)#OO_!F6Zrqsn2+F51zrZ=_TIs8`k z;7K}bsOb9YwViW~mQHWIDY1g`gYP$gsabN#A7}mSp7V;Zg6r3lhF-Zc&|=w9&v6EZ zAEWxnD+&&-8n$=tlIWdGhHcb^A@*KA-Q#)$&zk>g#N|3GDQV}*hAR~Tedj#dCA{>0 zXL;PO9#31m7W>X0vevE7TffnfAyJp_XPWdJGjHgGoCQd)@%f^W!>atFdc;2~xOM5+ z>9yXAEdzI@O|6M+F)d7+ZeBJL4s=t?(Xg$g1bX-3-0djH16(h4K(iVa>?FzpZlF#bRgd}h zuT`tM*6cCIb8V8qj z$H;-n#W3Tm={48-aq_%!uMt;WqWKgooZeM`@h1)1?68urmdptSw#<3W^xvz38`JCH z%T*V4)vbJ!uNmaD5J>EQHw=1W&twzlBUiewd~_$vT(u@hgODa)v57*^8E_&38PRmW9n#PU?^omv+Z(so^$L-iX#_pakyh&`P@InBU`M?X}I%}=z*V#|yap3^p%dmI;-RQi*@g|0U8 z!ag12?(cF#)zX&v#Coe^gISfs4*uPx5tK@1mhZJ4CMb$)}CV}U;xke<{Y zWPIxKz@PH!@~$W(>Lf8e=T#6S%vR`A8yjP6VL)(j5z2s}IGCmRH4k^swKE)h?rQSg z_wNusI5`EZ&@cg{T0*#iq%cs!=s@GuW_9&p2t+Aut*uiyw& z>v&k}=rJEs;iPJJw+{J3{D`AcOssq$n5Sq9)kszc@IYc4uQZV zC@3Eu9`l%$FV)(}j_94#UB8t&(_s@B_Nnv{@9+hCnsTjjXT}|%*Ouf?FHWaN^6o32 zR~LH3CIMMY7|f~uyv?REbEfFmrNew7j;S1Wu!e#-p0Taz!dJ9zN5 z;HQ=0QfgR~;hMX88sFw9oiC%l;Vd?rIbc^@!rfUfP7=96##glRH3Jy_zDZAng;w` z$=@UW`-;pK#i;GS+Ohfy*nFf99lad`2k(=JN6_Sbzy^?CpT8EIj+}FPzDafjkX!Rc zB)McSI=%N?AiKUGlTpRwIjzi}=yormNjsKYNJl5}-y47I@30sb7|<$(Y7n;Y=Xz!T zd22O#@6Re8{vN&%9CbCAh$vR;c#puR$|vW}gWp}aIt@4Pr=Esy(0h`)6DHhs*UVY- z1vf3tNozm0zCD$p`{7&?YDVG=>EU>KrIb-a7#DfAd*9Dx*+jY!j92&&J|V~}3*Fy> z&NN(wNu?-%8QHThs|I#qV+`^hdih*#AdUFj>g&aZ@CQ+QH8XnRCV;4J+|-J$(Dkhr z7NuN0sxD?-({U*Ldye#6Vm!SeZ{jzTtMx-3&SqFgQJ|kG;CiSRxobx$TaNple5hoM z*HP*HBqQRD*$pwJzNz*5O4_TtRG&_|IZ{QGXySdw4T2ymlXDxN-NPO~cmWa#M??}0 z(CL}+Pd&}cwQ(_NI4Jt`&sUcN@t@blU!;?|~mb>~|CS8z<7=-^)xR9Js~D zH-|Lp1fV|W?T_@%a9c`m=39qoQgC{us2ZWIcO-bcRU|7Wd~{bgg|TNvoxsC(Fmzdy zIPLzWcYQneNwA!6h=6_w8Q0P4r#Nk9p?`a=GGOi;WcvGZ7bv_SIjjPEvF0x-wZ&xn z#~2KwM-*kU%hS;^9NCpDPUzLNPZx>TQu+r9-)dlB{q^_dj7F$Qt(27wuTYP6-v;AN zkbhFP?y>A=_jn8k))nW~``x9At1NJ(-onK2YVwqCD;VrbeuX|DVW?wam3I@4zuEpMb z^(^gHar;pz{Pzy7gZbFm_n~420g2MHCPRO&D+9!B2!y&w9cP-p58?5+joR|c6Whxb z-e?)SEZ?UARIj-}P=qYvBom_hW!fA_uK(UN1|M6lB}?^sArc*kXnu&atZeGo9&ulykYK&=}&dGXN4s`ZbI!FBk9brE#aV zacBOmf@1KE!8>li)`OW*Hs>Z^Ona0X6w8w8&X*=N%%fW-yLgIK1nfiKh1vQ|3x22_w? z6##@y;$`yU_K|h->YdOE)5tEh8;#`|PGiqZuTy&LXuU!xotT;af^96hodOL>53fJL zWA6!a@A~6do}Tgm+(xsEK5D4%t(T{qlDf7knbe;sABwC*xX@O4n6ce}lTw+QcT6Ja z>bA;9)Ub^$p@-i8oUZ@w{L&2Yt7!dz#{~4%P?KeTNd9QDhbzgkd2H#^>rBgI!L?R0 zY@cJ5Z3b*^Uqyn3)p*T-+}T@3;#Lsspj6e8@)=(G6vSv>IUrw#sfP`|+X zb)Obn?gCupu}~K)-r!Up%p?I0<*-=JD!Vp`a5jgW4aOypLX3e<B5OrQqER&(R$!_WlU+j2H_&&Xax<-vx#mF+7@o=UPF*nHYb{Z|0%cH9WOLO-^B zDkHfnoG#8y1?H-&CMdg1MVTA#@HO`6(Z;t3_2x{??O!x;v`{eWaXO{lyi;{+4F)pu zX>1Z9F)A{Ub)1~8@lq~$e_s}s7LK`St|i;Zdi0zK=5B_yau}Wm`d=;Ch=kV5pT*#@ z_&q*L4dOPR+@qLY>54%YCUy)hkZcGm(5ws0iy1=yq@vID*L9g?(QH{3&^?F{#i@M zk%rxInj3T)np5X=XEw8m<#2YIVov4(Rk?_fh_?<3x)XEQ{fuKwHC=5PSLOZAg!XL2 zfp|vH&_NY3@ixoJ62GJ8hVGD+ZtH=CLhjd(<2M3FoQ8$ToT-a*X^&>c zLpf6CbMpX@!Bp%am)20*b8%ip7bp(!j8-Cj7}`cqbDW)+k*ilbbrmFT9Zulkhp&jM zhnqI>8Z+r7v>8wVJ+j(FE9u9NzP?e*$|+YpUb`)kQmDQU@vfQr0`5(f(MXI%Om4c@ zqe;J2QD`fchq_&ndI?9w3(@&G1=6-2N~sgVf*SK?_sAILpQ@WJAE_sccomajk-bGY+hEE zjcWI(rTQE%bLmg?ue;k9<-6+rY#&?#JEbE}WLQ1goK0}{H}t3N;Ws;Rn$krRDB+Q1 zC+#-J3j(=|j3SWx^~@Jf@z1|n&<0w!Mrt|-8eeQ@)gA>bFf$McIk@{e2Y#>aQ;nt~ zXft!62I$C5o_LtdsRd3#Gb;-eDf~vz6>BLNWPN(${X&(0qb`uP;$h?^wa^1k($jhN7lbrcXy960mK&_ z&VZqH#Yhd3hp=dGv%gI|on6xRfk986px;WAv+=)86TAGk%|55h|GI-4yQG}MFx|F4 z!I`Q_x=$JWntHnogkI6Wnvxr@s@q1H9P50r9(&o(5-le>@ui&vtYc0U^Aj33m`(ob zWACF6DJ*;6h`Dil(f@F1V?KK1U&V7QE&}{ni)aT%3|3Y2ZG462!Cs12U#Dd+I4K){ zPj;AGD1;uMz_om9m($;b7@E4tPB#<4Kwf#n^O1ztcDl%t8rSDJ9bL6h`zdM`sXJqW;JOy6&Ym!f zmffVYj;XK7-b`v{#7-aNK)$8ZQ@}!-{ryQEHx5kZq-OwTaEO75FmCh2ML-4Yf& zCba(d$6D~oOR@i1j2L{qT<%sr(vI9uEAzJlCZX{AB-#bSMUpe{wPt2YMeqjOaW2KW zUn{zS>R)Q{Lcg5lprp(%SzS5Mr%Hg~nit59oU}8zY*r^vV z75wwndFVU-6p+)?3uYWX47559uutC8IoEaUAB+<@*t<^S)Kx74zUm0LYrMWXji^2O zgdX$K#551jQf7;n+Du$*XeggrlwYr!>(=u)j^8A$q!(?Z;x;_Z?N;5c3Des|DdX4q zxbtl#GL-z%T}2afuGR}=t#a6;h}(}M*j$w5yXqw*)=v3u@^h<}UFq$5PuJLGTr*Vg z(QqERFYk*y@R>(W6E&&n`iPvQ9H<>J4@L9KlQm~UJVfPtF6?1l_YcRJ&%X=a-wtd% zSnyD^k3tJ9Uv7Tz8EkSG37rKHQz)0J$S=>$B^+}<%cJ2%r+X&=kAIiB?~*-ByYvmc zKm>p|wW-aZSxlqYtr%t6^^vNm|&3A7rS(yp3Ogf@0 zi{5KHH+7v054v}wUZlmr|E?2HziRkr>ye?)S4;Q~x-0hsYnaGwQ(YfY92VPA zJG%5+3}37I*OzAik@!&_`i~V{zl>M$K^V{oM3c6+p6Bs|S~4l8T@SxaEnG%7EBox7 z5@$K+1V#n7w8thWn4)=`xNFRNqeF*wtUxqgW#5j^I_7LwS3s@hD2tVzri!}+LiGCa z)f@z@a-#B?HQT5Iao^8+J0qwbuG7sj1-UG1zIH98B$8AV6<#f}D;LXr>i7KO_IV!up4%BvAL=CZ zgEaPBE&)p7hqzD>s)RX(ReunrP#PnVga)RCiK>aC7$ya>111q3Q66h}ey{8=Gjv!i z@u40nHn^`li(Kh1VDN$Aw{M$e=aba0&wL+VQ?B&(svBC9r994)rDf?sDStf3SmVko zX1RCbi_N@6`Vkb4Qvoe5N1#MrpU>vB;JT#Na{2&(cAPddRb5U`gvYZ`!S-WNh|SQhr$I$e2c)~rkD{7uTy_L7WJ zE^;eB8;-dY?S@?H}_m0$M!VoB$2Net72vM*TVSsk54aT1uh>}6l<>r;_#F!jqmZ-N0j6` z)Cko(%nMrZ=gVXDE!P=D(zLCU;KmR8Ip#~3ziUs#Abs|~WrwKzwuE_kfoAj;K>T(XiiA*{a!?S@`bU;YX|)MopL92~J2qg+rnU*R$4e^C)y^8;^) zbD}Fsk3zBd+_94rl8ZPLXoI~Sc|`7DD@iSfzA@1zecH|pUgg*|Q zW7;$)%wMzo?t!EHg)zt7N=78AIkI{BLDVA!xh^}@;Jr)5*cS}F`4V5^z}5pfp6~~( zC7cJPH0ddPNG=^^NljcOVIS@3mhW|+m*AQ^r*(jyfE zQA+gbPgRUUir*bm{>b!SP75r4`MD_mTj}#C2RJ>PfXG}b5z7z<8A>*S;(k&Vi9zrn zh-!>LlaubXfomKTBY{VX#C2MxKN}56v%6Bpluz^UwD9AWu!~MS1GwT*`Q%zZ`|y!- z1QOURIrWQ7FynP3q|l#qW1%-D@+^kkjX4?o1!#nMnUF>>w49>i%_WM!-K)-GO;HDn zg}s4Ai$}7Baeb}2Nui`ChO!?JA4fISz699>`Zti^ky`YYg_1d6 zZJo#lS)g1zs0L%f>EA+N@;D%^A7L0lYH2@7X1)925f&iC}hB&j3Qm62<2kSBkXMfLE%MBPGgqbs@fm_NcK<1F2q&WxGVP zAwU=GQcua`4XyI-P#atbl_X&BeP4<8ME{1jwu;_8{Ll|(7{755UNyeO@X5rt#D3L> zzUDSD*mvp7ptFRwAy25<|LKwVJWK5ntyjR_c}OZbI4Yak|6m z_h3IG7}0yfAcr0*YmG3^F|mYy!0G?}S$t?uIM=G0yCjLFxAnF@uABo6Wwmsjs4>%R zj9f%AO+mLIbJKR}gaA=Q;aRchWRdy zVt+7{GeJ`N>X>p7>O}yQ@GV+;6!wvgQeGq!7vK`q>jm-b<4XzV6d@G0$179)MQj_* zRVLPIZaAn9-8@SQF#)7ND23&8lNI>SVs;(z>2}x$osT`ZM5+p;#H5YwP1`(04ox=V z-nZcD;cZRTtWG0n%vn|Jr^kAtvm0Q@{Vq44El?w`!MDy;*1OMJG7^AbbOZO?Fw>i4 z?tKvp$J{NNjk=@+UOgL>Y@Gyn`}kk7zlFW`N4T@N3=0~IhYk2Nrxo2GO?Th8p#;%3 z1mzzhJVTUUlCB*RCcDPDNWd#8@5AoVm}`f8L%81tE!F6`=1M#(Kg!zeu5`NuiD?K? z0O3u3k+|Kg@M&vDv5kogioCMpMbRDvDd|4%%I0=0?7NZcTV3yjg@mz$)8j7YNY!zHlvTKsF#^J!P+5;o(^*JNo zuZh@10j>G$mq8Wn=J-30B9_y(`C*Rq$=>hUDz zMJCRA#Be8Z<+Spn*CBP$=TMbiLDpa4UDFiV|2{a6vz7J1z!&V*6@mzHc^suC$w+D< zL$?d?+)Rj`%jZ1hKa~SRL2`Fwf~Y(j)nc(60_a(;#e@#9Se92wcJJcP!DFQ9xDwJ? zL7}AM18{0PkzyrNJ*g#r?Hbu+Etx!M96Jn8b=U8q-zJx$mPUe-kdLDlT{6gvXK{ll zBTvBB5lla1B88(Z$tah^H(--N^Hdi>D>iu06Jm)p)1z|mSLfZ z>=cdEvj_)B%$2RZ*Y{A+>x=iu6?xjw^~R;44;po^8()livk)wIzr{MTE4f@8ZAGqb z<6|&9EnlFgzZjWIkDEfxZ+JW3KMqrguFX9y9V;v0oA%_+q>of6jIuNFn7^MmGv{}0 zRI}?_ZqCH2byf(3?;{melp$#4#$)nHJRDh@;o~2yf^bipLPe<$ew1%Q4d7x`?EzuU zvyrt#TKz&Dm?w>*CT@veL8#C0`N{R;1?s{(Tsi$puaA)gL0c|bPZ z@SM6)Q}Tm9`(W(#p5x3Y6#!<8(X>tuAD||^M!3Row)%->jU9G~sYAgC$k%_!;Q>k8 zjoV{Q@*6X4 zTS6PGadR$S+K2pQ~?irY=OgyTYg=Oj}130SI^A4nkjpc3GQb#JK!w})M;r;>dTh@g_x`aj6CVEN|v#_ zuut`@p7(pd%1}i6M;G*u}?g zq3IH*QQSn}$R4Hs@(h)=7X9gY@PPd;g7!>?=+Erh9ZJ)kZw}t~s|`QEM;(-Li#5x; zjYkc_-W39Cet_G&-+h_eSZvlJgt$f9D$(=6czGVpfAcQ~|?a8~# z^SRW~WbMu(84RPjH5p5q4K#|uMNTDD5-5S2jlFk`x@W5pV&J6xAf85C=c~E74Q4J! zTeu~BIB2egpSnK59dexZ`}oifdX}3xqek`c*z96Xp4DhPxfU-%Ix=C=ZNg; z#jy|EMBQzG6DZ}Z4E{^bjchL0YiU`1#m(1qaJfrcLUot;D)Kmwag5N~{$jrM*Nl`X z4=%~z{v{`-JdSz5YmYb^i9faA+{(MQZKNH)#~;IuY?9!Do?kNOB8OMRA;f|93@@VD z#|fK<1;=GJyZQ&<;mOfL6Tl;g*k@_@bx%4bVqs%xZfv^QABS}D)&gO4EuIp+^{MwdK zytvlZcSSkoguIFa0ed0DrJT8SuG=eK^P3K!gd8s z2x+50YF3aqnX?pM!8x3M8LC-dYgqkFj4nglg2XX6m~7O|ghnM*#OL0<|@`TxfEn#*5yOsMrh={~0+ zD<){3Ci%7FiNm`O_!}Ota|>(bp&eYedD&7TxQyd&E2Y2=8f4i5lXphZalZ@)Y~( zO6R))8bgrBq$ps#6xK4bfrftiJa4>>P;;^MHzuWqIibxwl+Qz9E|*FYXM?36IC!z@ zKr6JfrD_*2BIOGji0ynGrPYRiBH-%lK7YY|i-G`}Qo_LaV(8#JB7M`3&>RJ-KJ;op z?PoxQP4u0)pe>3#|Ki;|nSWvJ^K0PkeelVbAr#B(^1}`Y=+Zo&1^f2aeZO!w()r6_ z1Z#P5`7K>1NPXE9m!Nbn9!KB89k4 z+8JS1Vk(QxVeD6DVt;v&_SuBgAV~Y`NZKgdl z)75Yyj*gCDL+ibxgz~tq`r+-SBmI(B%0$k>(qxVH<+DRWdN~~hleraR`bC;5t!af* zT8NJQ!;&B3hTbY_)ZfKLDF~u6Hm7PEQeR{Z64Bnm9n+vi`;And!Ne77H-UP)lRf3wXgq7fdR=ofN8#zE}a2x7rn=8ZHv^FNCROAw%3ih+Z^ee?5%Cq zmAZp=oEACN5}AjVDR7J01(+C_xPJ&cGo%cZ?xKw}cUl*V#ChNe5%8<-41{6W4^!#-n zM&kDBy6of{xonWk;l|D%cW%z98hhevRL1b)HA@MJ;6t7j^rnWVLy;0Z(DeQU_v6x( zJdD+`syBwa3@Jq*ed!n9TR7aGbojCF0?=9D)zW2$WquwUS@3ryn2@w0OJ?hVdOg(vO@u^TUc2cJE>HSN+)EFWzgr)jrjrtgS z3d?_)$h7%mSgvwVaz&adb0eT{-jTJfE9T^W)7Fgz2_MEr3ZqbUjsQQe4dpEjk)K*M zMkZM8u(s5LIq@Q4HGbM!Dn#~TPcj%X?BtJW|K}#Vc>JlIcB5=HKFm~ny4$Qft2%RN z>&Z;%v^rioRwWnK5M7?P@|{mlHOMO)QcHT{7%n@b7-GkMvuRa$smC2u&OC zr*MlYBV~BIP<7x@*QiTiozcye-N-p#xW1%}6h1wOJ>VNoFweXm9v2sOBX@iksly#`?bv89bVv|+O+W{m1VI2!#Dy$`{3mnOef*ICRiIkS-A`=G zz5PPm`O& zmvJH2Vhlr$`c-xllOo#4HUAte@hR3G+>abiR_2jyZ5g-usTGEK;Yp(|bUyO+GYO{Y zj`}U|+!FDcohy@iWdX{rxM!Pv*B8IsA3gT5&eSP-=h}4ayDG(XbsRTOUWu<{XyDOB zz)@e%?V>>&Z3@$uB7}gWc>^sx85*d$s~&dFl<3dbbC|h#gEVv*+n)KdS!KpV3WA$Z zt71~FnENkk*BCMn7$u6_J5^LsLKr!aFaCi-l;6{2`Uidbag$xtWb$cEpf?i2?c!aO zX5MgB1YiG1H-5gh#mRX;sVtW{Z&g`=mgG#MEHW@(EI1ivDaL~5u;XSqw0&XbgqovvUPR+ z;GTXNR)7~7dnqagor;Sl7}=S)7a{6&3B)|Mp9HuTrokw*$)Z#P;(BhSR#o>K-Vqn0 zNFldYk<<{(9E+CNnCVtRo@t;IhZCFjGZZ6{{gIorx_#i)r@J7KW!$DeaE6WONTML{ zd#KkC`Ks3Qt-6Peb!{;WTc3EOn_7JYExX+J+6zV|yzP;gMHr(DNS0?O#;|Azh(t-o zHigDRJ#ji{f4y>Iq%5eq)k1N13a%xZuh)=`X@*mDXeW=rGanblG+!Cj0!m zwSutb0VY)|G;u|WGoiuHyZ#kcW~XGiYV{P|UXlKiBPXo6-}xIuxm&%dO2+q(b~j}i z)r)G1_K-`uUV1oK(rK<>^@R$(o@IS9$nLC=-zYVh7Et$)W)cUg02CdIA49X2wsBE^V)|OEgFvUnaFk4~c;M%Q{14H2|6TbkhyQowvkYfnPfG&?3nctD3?4{0&|Qkl)zke2M(*1$ zx_=1p$3V;o{|AFDD9B=?Ptg2Jlu~a&dD9&73Ij_IUogE%4qt?Tu+IXb|1kaF-zh)y z36xv!oD1cDfc%&EkC<;@3W}%)#4^&}D(OCivk?C``GqAg;vDRhd?_<9Hh;}-8&y`M z5}5UFCsm~{D&Wd~rB+7=bB$Zd)sJpHLkI$TmsfQE5DYYz8|4Wqr zN9_BSG6iw*(H2#dDU$;1JmigvH_{fkl|Ofx3CuT)6zFj^syT`G{Yn@wE6i;C%ThGe zC$5qJ<)|GM7&+4|r^Nrh{`(NFjK`Je{|~gEEC02uQuO~;{FPJxE105)_c`fIz8z!D2wUef-9 ze<%u{SNK0{eI~O1SB%E}PvdJzOBigo)MSrVXYc|a)S5*=)Tv+Pe2-aC537^`S#Zr? z9gWZCIC6yhxG%htp&bRibV>$9E*fD-i}4Kmf%|;Z6~&HE$dS+5k?&-YwO@=k{8^R^ zPv^e%qDMBMQcMmE*RymoM6zLkWQSUmS=uloXQO9Ue-@VeUU%p(Y1+dkfiFQOL-hHp z5pT62(&Re|VJJZCLaYe!#KISVaggHW1VND>6On9>UeF98WI%pR%2vHn!}^dJC|C7r z43}2P03E9nzu36jh`3iY^EDOmE$R~U*79)_^WCGI7T;N1#aT~3FWa6N+v}3>I(na~ zo){x64((UR5CH^SZl5)1t~uT_9A_>Kv4G_}027%5mDk4bm~ywKa7R@eWe3)SrhX+8 z`fSVEn4xz0=H;DBfL4JNGxmBNmGGFU5F!}{riOy z@LH$A64%*H=GtA2&1uV6=5N!T;~v94iK@*pjRKToS{1x1stwMm{C!&XBxaQenDPje z!K_c2&h2kstkrTT*%Vj{!6#(Nv22+QYSXU;f?7l5A$+~ z!?lI;P5rszqK>r3PCoH&P*T*=>@IwMZ&l$LD*7m%of%b3C_XV02S4eME^R0yHFh^D z-R!DUsqXjgP>o#T#7CWaG*1pRCx`^~t9nY~x+mBD5pIvvUp_yPlQ8P=o(RBW@>_^64_zy?VoU5Z zjO!a`xbC5SHDmWv*R5#j3RrTkU zw#&Y{%wxAcBuz|d&qr;Xk-1E`-!Kc=bW$eKxF<5U3Eh!v>QcKi#X}xt4wSp) zHgsMe@59mk!kI)Y@Dz2zY}iaNamAt3NRm^a{x+G_B5;JK^X97P6rvsDfO%N!S1~#| zHJYsY%}aAZ`n`=tBeBINgZ~I`6RV;whig+&=$roq|A6SnTg?ytG2i|hV=bi;A5o*L z-n=To(GoT_6NVxA!BONNZjgpr#0(m>e1W9K>X9@X2}iUud?UmFjm~vOgzSMzsZEdF zw{XQldbm*>Oe7u{5``}&67dw85UYd|yQsp)c;vSHe_Gt1!V@iO;~|cqvlK*06IsA| zbH_&!$>+qor)a-e)^UixO#qnxbUiJVKX<<0{JId|-d_uZ$oN8x7*@q zi?ZK)FT1t#AiTnF3qFraC`P(w9bUMlL=t^s8OUB2gvHzYi z(vo(a2_zh%9YhD=1}j3i;Sh9jAS*ChrI#jV&yLETL=qipN=hFd+_Ix^y315&d;mU* zLzcLHvN-YbkIvX6``XzMo5|$+vxi(;PpWBkQ|X_ z?vM-ENU7z1_(Lu! z#oPnLuS|6)!}}R(HEl4Mtz6R=Qx}a*Ii^Wcmizmb2MTpYi$eG>@4{&I=)}=7$dX*u=iYbhJnNj>xW0l7uHW(9K~LO+~s7G9Fi#dy43}NEKgQ z+soB-0xX#ub00#tmPw3BM%+_?^8E`&i5yb&OJ)|-=BK4!Aq#| zvNZKe?9Oc0Yv3b=06i|n2x|VocO!wt8?vi-E(U@5vId$)?^VOI8rmNA5q4;;#}v!v z)bT4r5QUzg>nVW$z}LYCp#K>9Y>u}r7SA&MmE|6n8Gk6!x9_*@-!C2QFdU6Z__^OJ zHACW-AtV||~RvOoG^$=>q4 zGCoEQa7XE9gD@zvX!pa?`|9E)DimFy_vpVL$fQ2F%+NsN%nz|cKc0#Qt%)&C?tLEtUWvJknBv-NBZ?| zQ}=V|ocSM3hOO;(O~fG1cInf?E)lj>L+0Y2U#`zIj(%D#t-8~mqw8O1QhrneDV}G& z1b!O3vEj^+v-~A&2v}SApom*BHM&83Tzi^*NNWm{AK(!12dp4}-)Gd3mgU2IUYumJ zh`CG^OV0{Uk_$>i7CM1P8|3`KNrFo4A=8eyVH=XE3t8TRvbYAtJ2F%fIt@>^JHmC5 zwI>mNu$FuFF}FD<)a;z-3Y<$|kgmHk8EZ1(y-wKkrG2i?=#R$WABeN%eosH6oZy%n zP9mg_qY{m`u8WF87F#(IGbp5IJpCJGr>lnCUj8N%F*IhP?BLCnh5Bqf*MbQ&5B*^ z7L1sXoci*`M|}{;(zx5#>NW|x`MA`I(R~0IA?Geb{K^;`)%WY+d7v()Oi%HyoR3cI ziGK9YZz=bm+&Syzw_kY^)e1FB)va1cet&d#e7?{=;64zb0_3Vf=4kc&U!VT&7!JIJ z7Z}NGt8?|xxYBan)0}qI*w^?@=^17lGuK_1WI-|MPriwsZ?Bu23)$IMc^DgmCZd>a zy^KJ81Sodx_MlfWE70!q4d=)9KBt|4^G!?&!HGJluXo(j=%98DEW?2h3uhVt{K{;c zKbE)Vs(Bnbwl=Xs#LFA&`WVt6c}S?)RX7Ag^EKlh#yz3JKSVF!YHU~juvsR9a!7^E z1mbi9Chm!8g&;rHnk1ASdbteV1x(Pw3)AL$?3a0=!Kz`gca|6518jJ{5Qr9^A*Np6 zI4CM6&SjYO%W`&f>;)_A$U`olNS3BA6wtrggHWxbqD^8`<*jpvF~==+eF`QKW)fPU zYmzz@IPx|-$UGzes8dZ%FxrvoAJpT=r!t{#it|t@nz}dYR|!ypdv)AyvHM)f)jeckyDh)Jpyjs z(XIONXp%{D||Y z{$$-AuWz~|5BMIre^UWt*E@tq!?N;3P;XstUVW_|=KJ=&Ye;t194}bP)Y{Hkz2WZh z-pSM2Iuf43MMB-CDtT>bp)uwJw8??n4meZL2j+IazkxHgynCK!5p#O37Ls(mm`Hpq zauUKKbDhFI7kaJ_VP%6lCFi@J$ZA`a+=DGf$M9MZ7Io%55OK!326asMk0Y3Bn5D;6 zQ^z7B6sRVmb@jV^K+inimZyw`u~^hnhh&8&N+6}Iy#sSh$l>6TzP1u}Bhf1*U=uvU; z*ZBp!Xa&A}b)SE$IWWAS8Kzk$dGK2Q9ALNjR(6yY7ULo3>5G9%e95=-mU1B9!R+3- zx6rQf@KBUI;d}7Zq;7dWdxWx~+MMPoIZyF(ehSDCzll>Kqk{e{p?p8zua}l0@jC&lDYbH`we>PR9{b$ zYUcBaE`({iUC%K_vidc)T|>U|R`u-j%V)k4ZT;-|=i*#beQW|*v-}a0DvC`*W)K$G z1U*EwIusN$xtpxBpMv>)ONVo$cE-6oCNdP1_VUItpI+nUJd_Jt>4{fkr$=_`U3UXX znATwKxrIG5vwC??QMDe=ib%R;Y3koJ;nJhxiMdc1ujO#hhr4I4gc(d0@9Epfqq3v6 z(s2tbmWh;2S>7zp4hyB`6t8K2TsKWKh_yBahWyExN)5fjJAjT4&WV<3Oqkeq`yDjb zla_nAfwMMu`SOafuBjf5I|yc(h>kIRT z2E2d03-2yGz`H5C%P^aNtxEubXunKP{rR-QYwCy0(`C!dMVHT8S?QC0L3 zn&3%G)-@C(;rWq|(U8j4Hd-^mu;)~W?llL69Fs@Q5|xs3qd1%qmBnAi5Vb=9Nbm%6 z9IQJJF*dJm{l4F7%&UEOd1Z){?>5jrIau-yS?O0iIWd7Ha1w zzwcR3P-=@)y(g=@N7Jis&yoh0pYE(%*a*kH(>+Bj{S;1&l=7tWRn_UNF_-A^^ww17 zC@*yb7F9v$L7xw{-ubie8cLfT{$g-CqlfUkg}3f}D;R43?~ZJ}R4@zF@^pg!X!!Ir z8<;bkaAU3c1=fN~m<_MUGzPK>&-um$>{**iCS_}$3ar(()zzYF)%%tb8cFJbnyH?n zMAKuU%JNgi+Rm`-t&9DHnmDiY53+2~!qhdQeN!lt!T}#IZxc-V@+rf)*_K~_*M8_n zh~9hljock=RLP!qWA_u4MNg)j+dAaI&xFd3x>2=-)t{^MxmGOfoGiA_(bVJ{AfPAn zmx&CFr%9&DD(N*t5RbDghgiAcqt7>cAC=G6xu7(&^jWK*nY7j3uO2-NY|@k1uicA* z+R#T=Xon>yajp$k`stOLs>T&(_vhQl%RlmbcUQOil!0q*QAdyayAUcMn-9Cib2??Zh6P4!v8dX)g@Zn|`P6jpg9YZ2 z73&(LD%QsAvbsM24+gFRAl0KA0)^J3_A&zYVBKg+KQX!5$~OgYi&IPTNaCiAYBW*g zYx+|Nfo1Z_yv?0vqYTZ;M$?SMB`vj;gyi9H>;R&`tS0f*n&3NI#!mId$fnLpQ)u*6 z$r%mCRgbhX-gcyuC-IELyOgUeSY49Rn@rwA+tay=rAM^*C>uyJpog+ z3AL`^qGjY#=psw&^1QP`WNw6vN1QbBC!awD)g^>5tE3_tj)y!AyOJAD?wq>HeZ6)l z#=M03dPJ_!M(oH=b)KESr7f~RKc%_N5Ff?C0XrYD$K|&p=16Ux2TdN1&fnXEgUz@f z2p5m(=Z49bx=W!(5hTCa?9(%Da9;?CqADR}&h&K+Z(W^Z7^1|^TTdRZ07Atm0Y6Cm z9xu!We_Csb=!$suZNi*ybA&A1sBXmW{UicBQ9tk4YC{rmM*e=P%;JP{(!RGvY9HM$ z6s14pYOD8b-w0Z1>yYkiUfG09Qi#AMyl(tsJg0DXE8MttVjbq9;Tl&qd~S!na`x+z znv>9oT9!ukK$b2+iiDQ=v-KaT764SKVg=$jw+z)TJ`?k<(-@PZ9Y6g)jJVKN{CqQ7 zFW5Xbj}tx$Kgi$AJ!5VYXMJGdip_SY26&#V-?nTo-&K43VK2k{7~P(zriea2GQMdZ ztBLDcQsnP~M`#ve$yFupmTft8;Lh#Ns-#9THZ1?FjF#CXSpwqp{1Gnn(+9k+>2rDC zZNGb_&s*H5X`>iFS4a3d71L+#CS&XH{AZM9|KZepnjV96j?{zfbO5LD0;j0PiQN}Z zyu$s+H>3X6j0(s4MK*z1FH~hhx}d6xZQYVl9B5|g_8^QrbV6NoI~USdTi>u!Yhd818X{Ntf%Aw3If2(Z*p*!f*zw{-QbA$=Z^0g>5cad6~F^`30`Jjj+uA1<_bMe_L&D`*H4NP}$IT zaRas$6=)}OxFSls_PA?=Y45*H(mDv7MI-yCig2;>9F_+!yUZQ3E0cfBA0>M{3q1}m z^)_XkUb3Z7z~2|!vItbZ^%+$&fkWb+z~oWx_$oJGU?WUL1~rJxuKH%~mYC*J4`4#9%)Jt)0-rkYZaWL19 zOLYqaV)CtLRJ=1(9xd}Fi_|b|{n#3zfQ5=CRblWx{$CiLT^mdWqO{h15hd<@23F4k zA}f-Jvdj;1^)t^q{|pH3+3x;y+YVd#?|-B?l%8-8+2`i+a_m2G!bJ@qVEZ>uIRduf z0dU*!&v-_?6wgWOB0ovaoXWj6J33R|zSZZFchbatX{J!aW7zjaIvAfq4t{5d}P zNw~OZYj*aC!IxT_o7b-InL)atwE5oGZmFL&^#=LpD5%$*hky*WWJWaQr{+-aerw*I ztTmoiJ&7!;y#B7k8l?KWWLTAId6hQTf=Ju?G$j6I#0) z9T&ZiWr79H^;^4$a2eUJ>2-ah?(y|BzswGv7i$a|C9FIAD;ZEiNBFihrorX&O-+t>f00?aiNIaG zEw$1a`#J4lEkA?*eew8sS?lk5s{6ULJYM75RoCi@|1Z9z#0`X@?*bcJ+y4a}iP$`Y z1~vIBe)n(h!p7WL8LR8!iuzJ)@HB}~eCV(g#ywnGmfYE1i#pxSz)ja{Eqz2pZm~mF zgV5WJhRRK`scmD@r}7(eu@cq)Py0DniNI9-$`|i18D3rL@2NT)TedP!4fYuol+i76 zzjMyr-=iMNNmLg*T9=TvT02n}(Nyo5VM?NyS4d_TA{sg&SvXHQfSOEoB=N;@aKG=p6-D!(`0;fqvb zz86&bJ=NgHsiSCV<@2W>E2;ZJnhB=CombG1)~}`h0v7XFLVaaNK_jedt zKlyb@_8H_SKb$vph-VS;!9!9^uzoaGlej5{iv@mR9pYPkuXJbt{y$8p6@lJ4KgCea z{W||Y{mm%fnM_fy`gwKf`@N(Cf4_C1*z;s@ub5!iTqW4HL~XsKLgz{nt}-b6BtjAO z8(g8+7ahey0VOe(Q11DDIOrxAj|Uq0w{}t|iA+&0ctRSwMgFewUrVA+<&lT;sCyR? zx2~dYb4OQB@eqU3n}fp7z%0UOk|^tp2_%pnremB51-u}SiA|JRKRX1(7_%6V2plPZ z(*t{y8Th4{9;u*glYS^OHZ%OtXl8?2s&m zM|t`lew7-~=xT^lINXqJ{c1+7c}DtuL@49$Z;7~T_^xd#VVsi{?>HOPP_6b2&Hzyc8k;2YAAXGO$mxGOCP1$}XZQ7f{y>o%5uk*Q5P)g_@RG!aMN? zH9rG6kKaBP;Wl_Ze9jZHV&l`rFUd$`45W$##k?#Fnm-lacI0xy(f8x2i6glV#B8WcWGoQx zPCW^MUpdYmUf~9;cU4NhfK9Sw>>7B8ard7;8y?G}YDD-qJtHH`Cz86v6$I{7KZcWA zB|Q=OgaEtfN-~E=9<@oin7l$c7#8nISrU@^T42e;>S}7yvzTvQuV^_dF$z7Y_MqSa zli-S^VtP^g15XDa)&B6 zr5A1h=Yzvl9BmjPQS}8yRikboM)N$>(gM%Z(Z-pLXbOv1H;>#WC%*TFYpnA=%6VQd zh~6b{Ybo8`?ZbJ?Dtr7q<*Br?{iM>w6nfX48`7bAZ*uLYDBrB0zj&u|%YqW2c5yj> zZsAwZS5_V)&bFe`*h{~5msG@1k&d*IB!gKB(Q|96W zB2r>=R>>Nav#iW=V`2`|y{C78*)vBnQ|(c;-c?=-q%sH5KR`97nKy~ctWKoU^W4sD z)I3hObyj{liBvUKDBt2NW#4FQyGe$-{e*V5)1#ePq>P}kr=4F)_Fn1apwIyuR-q?W ze@!5JZYbZFoM&zLyeSG+R%F~$bZbA-bk_jsQY;+cc#7BCO53q0uMYnpk*;A^HkTF+xO%o~ANvmK1= z)R7)vH)op(JI^iq+-R-Dd&~Mw&mOiJ{!GQjcH|@5)4Vr6Np(#M9q~vW(1#S0d>Dm| zO+(U}6AF4o&r&VA)dr4K2{xB8w3%6oaCDE+kta3%_p@fLt^sK!v>O&}UoT~3YL!@N z{!-kBPN19_qz1DBQ5+GAXluLkBNl^6^efyo?n7hB2k|n{H{4o#X*76{A(APMR{lFF z@`n$)#`4?`xt8+6{j3I8wa{azF*WCg&DI^~0d5Tz-8eqsZWh6EX+@H&t|9LCnG2w| zPun!mvr|ya;L3x?e+_lypuWH+iXWfX#tyD&Uqsac>p;d@c=n|!Tyih@hJD;pFC5{+ zD4$?E?PfP(|! z0k6#uye_ezy<<`pv8}w0S-6v>k?i@S1FtY8J4-!=1@dSWx(+3d+dx}dhO?p0E62+y zQ4g%Le-;=9qjZzpHsf8|G%SKhKJR6*VWfAjN4rTVMnd&=SJ+T&zXWZ?E8rUFBa5!K z9sUFQ6$M;8U!t0!74~Z|#ZTr)i-rW4a3#xxHP)N-Q-bb08dAAgF=ir`6r@|c=U5C< zs;Iz-pUlsp8WN$(PVQ#S-L@Y(sr@CFA36?sGdb76I)RYb-lAxqPAJP!}i@u;KQ4%6t-|k_w7P>%v7vGP}>aZiKi z0OwZ*V;&P-H8NVmnFC1&id-&Y0yOsp#m<^K&$j{-9wOJU(ZdZAiw(O(Ghf;AhS3_2NPripSD9`G)D5@gL%2cmWwnvfaD2?9~Hr;sfn zHpT&=A?}pPLcOQT_c1U>w}3VOl7Kjj+L&1CtlAky6_#WT$^Mvw zN#%2YLPehofgDmwpciK*uy~Y+qRgOX)UdKPD-L~;C zm;9jvbIrkfe})4Vm=+L zQxH@E!-WbLOh!0Ia0tsFuE)%W5r;37i5PBBOYT9CHjR0^&sZ)fMg!on97R8FVMJ9+O%_1P^qLUOx59U=$Z%Bz# zU;wk?Xs-Z}Ev@xgOO+H5ytI@cv2_MheM6c~M^F?7_{13~19CAak)%XLQtHCoo6RXDoB*MThug^WDRG!DEBSjcP>11GB^jSGi|h6TLLfNQ5P2VMqd4hI+UuVEDf zpW|Q#Mhehjc?fZ#gD_m7+nTZR-Nz;dM$+J6GI3$SQ|M^;C_G*qEPNz5K-V4Qs+JH! zxPXh9P#>77QvNOr5;n9PEX;uEu7U*%i*r9AOsLs#{4oInEJR{JdHQVM++1H0gQts? zlMxrh1U`7fLH-?pQiGHO4JX&JzhaggG!_uTz>9-{TPzJ01N@?#)Ef{!hOC^QzlUk) zVf5&Lzy%K$(Abwhm)C>>!ODOI5zQGq5K!Nz5I3Fii%J8w(>&-^btFrU1 zz+0O=AN2I!_U#P6Ovv`#$i2FXdt-1H{CDjX&+9Aj-x@As*eNH4@Jj~GR4ez+QV&r2 zDNH+%%Fdto?AsVcDeh>v1s^x~?x1Z<>xAQ4mZ=b>VeS`YOB3xBwZ{TplzRx^)5QmHh7`EG2MA&VYa#@=InEHRF?$b6 zkO%y~R%Rh~{?AMgX2$=)-S}a8FtPo-{I3@?12e&Y?*G&=vHq`~|MxO0J0}4v3kv}& z6B7X&BP#(5$A8cvZ2#366D!kyd5m0Prd10ae z^Ot*Ft60l=X}Mxm>&i%H-AX%Y(*2q{5jHST|6k+I&BR#NOYh63^K|#i<`XRFAD}-# z_(+Q>XvvFRKMF~if3{fQ2+ZUx=RWqg-qHP_F1@jVP+sUOrZYC{ZFhox+5Fa8TCOeE zzUkaS|3z_M5Tt&=ZtH^6wtK=4WML!t*;evYxr}qU^?}uw#qrB`yds5Gwz^q=6Wl?L zypOt;jeVKbXRh(d^RZOeUpZ4T&%f`y-v{zoIb+3Uv+JVal4tT8v9GhpRM&TFvx-(7 zC{qz+*G^Bl)zf$WdbP8@3-bxr8jF2->*=%b1h}&m=pl1ux!Tf4_fv(cZawJN8hf?= zN(-aSpNV>YRvmv|>w|acovQ&8*k!{kP#(1Lvl~?`7WypQABA=a1(4E_uZAnq82co9 zIi3vfOHtV@FDeLx5Sd^%sng$X5{)Mo*NU_$Ae~^L@qWxJ;akwP0YF*;BGMox0JmRL zFjeY(N{aV&)`CD*Lv*5W9WrDn`T-MQ3PA{k2%v)V5cB^KaG!<7-&E-P0ao>gwU!|s#9wcM!Acinh8V;^ZwH zU=4^dQQL6ENaIDc3T#^GP0&`o`D?@%UZLj+*MVAh1M#+~(7)aP#{Xz*;V7P%&N_0r zQYG$fFU;X9sw1iRL1$_jO^q_*5vXELs`r3Cpyn#R-F*$I%iGdHVD~pBrG}c9=R(XR zbPTv1k*4|KEHDo=$_?qYpDjL$t%?}p4v{Ydd?jz-$5h-V$pz=`p7--_4@M>wY8?os z^zfx}qvT46w_(9{iVCX&`uCo)XVI`}K1$xjPsu~RB_Wdgk%>PeBt5pHg(C2ylA!rR zVTpbGeqk3q$2TO#_k&`qpwpp|w3&11$LaKM78DD11D1OIpT5%u-Muqrnle6fltebA%5*zIhl z8F~3x2=Th?_KF&|_&?bxH+joNryT$zdhY+RPkv&?{)5vUVuKBaFv@yV61B)cV23}T zV`=jP9%;WY6`-8+aM1dUZUJj`SnGQ{s{>@DrX;d58A(ZrETokDmS*!d;zO{g!<)?s;U8h6MI`?f92?C4 zmt~8r)kCihujJ$Cb~Lw#^;d82rS6w@pHr{Fd!0Fu#}Ai)E{K#oT6$?$8RaCL-4G@U z0a`6e87N5rEDKWF5R65D8Ce5{xlSZya(>OIC5hIY)&|$wJX7O$XQ1v_jnPVj_9}4# zh2$l~LtVHH+=MY|o{9dbs}G{(9s(l*QLi`OxqV*jY%l-~4)zReKZNPM2jKNL)a!R# zXcnoor@Y`bHO%9)h_WL!+d(i!p3Ndf-p84;-IBZHetG%b9FJ>hm8Fd;z~4cjX|e785_KXqyP0M8Wl2R{l}@k80q_ywdE|VOl$?TsKJ|=- zHkrX`?B%!JRIc1WW@c7Y+M%p-aJ9@)NGMjjBvP&_6+!|9!z86^+8kqGWvd|NI+dL{ z_E5uxvIvX32#!lZglz6otnuN3O*oRXs%-Ls03O1U|vW>YluulGlq@U9zgWSvEHL z2QL~eQA-AFLHEL2!wV=8S;*_`5f5n5OV3upC3Tq<6kYvYTG~d=Tp&fT{*tbjZoUbFV5^P zTJ>iyLsGNA>}0=jZi8Z4&}|xd0*b}^gi>&+Nj|-KP6Z|ly$h%Ne5&ebA2wF)ploW~ zbW9B2w}WB$6z)JHtE=&Mvl!QC-I@fX2<>S8Q(8c9E8YCce9k4!Vr}J+T-C8*+2ij< zor@sH8X_fufS}kP6|P6niO^^2Er;W$j~qx+74;(=L*u{_!|L#u$GbLcFPg{7>GL} zPwt80Gtp+6IYHzKYAq9J=gC62%kYjT{saQp6nV*Qu`D0JWgU{(QedoiAxa}8K!~@+ z>`VKM5z8@X7kxN>RIkJBblNG9XQOHM3oTqh4eJMPtX#l!IKsLjKfCOCmhWHHR641s zQs0l}VkQ$Z5IL!43Uv^&GB#NP&BS7{T&6SDjdUta+qT-r&voE}3act>*f-l7Dn~A^ zwDh$$cyL!lQ!BgjCseO;EfcZzk8bL0k6cHDz$(iqh17vjp4wJp#_{Mk6uTFDcYf`z zDjvW?%23qMLOm84r2F@Ry!dNUIZ&eCM+KR;l~PTXnfh+{(F>I7P>lg|>hDYlq?G?Q zfjRdEOTa8EP-!Qk*PA-`CZ)QAvyi4xPOM67S|DDxqG7yE0QvJ9ax_GQw-4lUiK5HO zK1rSY6byzEJw(Cjmun%$k3vY)o!X(y`5GB;Y$PtXXP5B#CgmIE4WP!P6`EcNNg94JDX#m4&BL9xEkER!>4bjc}Ds zVaM0Gz$drlk-)vTfs5L7y=J9?s{si<|G#VH?dmI(_P7E2J@d?;QNOJ{A7 zAiT8;x2x7ej>`B8cQ>%G9_}-v*rpori&byhHkQI*D>M&HJBf=X2@xa;4lVhi_tW(~7thwHUL=zG_MiF;`@r?TvBD&(cR3df*KMSa zp*PO9j&Q`A+^=UNC-|$+2SOnND|dmrnmLm&Pj^b)G}q@!7vk)B9_3F#6wqMqy!@yL zZ^4Ntnbvx-b+g38iS^bwKl3+W3bU4jhz_T;kg%`0u0HZe_p(UJCJl){&-6q$6cdCK zZETLg4NN_Hk`3N$g5!X7mN(uGeigE3VxNX{1kDD($(h$b(m!{^&{opn z?jX23IPx}}DsA39(2G>c$wgCnMRl>Cy71m1(MQAzw&Eq$Hi!yvSkEKg&NetP`k^Z+ zMy4vMow;+_w!tCd%6bU9F5L+mFg}^zDZM2qtqhT~@8XggKv&#KSXEfNaNb=)+;@i+ z%xqAIqQR_obm-^`dJd)JGj+%hfvHae@n7)Pbcp$R+U>iah^@82{3zLmc{e6^IH0l@ zXvF;R$l1G-KNNR{YL6$Zc`+c3)ooIVd!gBPjy&un%AcgI?&9{%bnkc=CvTpr)(4kWhD?8l&7Z6)Eq( z-6-PGQ;j{kIVx>7*AY>yKH~S{uO`~dZ4LTHe-yIL5H&S}PA2;xnT*CE*wq_inK!G)|TJjwdO1D`-IgvJ^XmXWFmgU|w< zg(VvirwKK1rKp4~;7C!4tB5R!EJ!Q}%E*I~O%%ixq)p9{t01DOMK$E=qIPKli$Q^a z`2p#m(hxqNte~o($)J&tXoxg0(bR$(0_|_0sGtuJc8YvYI!!Y!T< zqM(hCU4+_TLWF{XqipzwXaJc&Owa|$3ds90b36cx6I-$Ylpx*PBw?D2TOvdQWCH{l zl1V}%4AV>87N!bY06{iEG>bCirb(R8E>Y)Q(HV&Y!YX6}WP(sQG~zJgs!(_&0um$= zM3oAfya8c;IzddIh-p2j7!no*x-f#Q5N|+?fOH<=Uno)v^pG(~ACbGcpx*?qL?+c@uUWe{gx0YAI}l$|x0ry^qI*cd z2+1Y!>)%~^P=B&ye#DRXU16$!e|F(PZ;(H7RQ$wme`q=gUDCI3fQ|fDQixts4{8*D zvVMCAKiPhE$ZdkX3(#I7kLcahqI;?%Kf!i&2tBgB8Av$fE|FUzz>LHLSYU3#Ejj26 zGLN_eg$cknoEN(Rg@{M^)@9TQtzQ{b9*IZrmIELdI069|x8)b8hr}mU7rToX7zX)_ z6b#`tN+)6K`PYe`>=m*6BQ7sR$U9muHh#K;?nB9Mb&ba+AqbJRW4_Nn} ztN=MDUsOyT$yw11^|?~cmX*%MzBo=x?di2CMQP6BqbR->tS;4yKU7x^e zLgwo+8|bCFbe&xBx^OFt(iR&Mi^6xUF&_|sSD-7XHRLiv6GE1>E@#-ew(Kkplf0;g z=qyS^Cq_Oa%E*bGFvYb{@Vf#|tF~l+he8&5eoC-;qJt>KHE{4zew#ExO2|H)FbB0s zzi=8YYu~VfFU>d=Np#LkYh+SkfT7GkyR6;Dkh;Jq=3UbAwUU<@==_I!~BHUj${0zfWbs3 zNYaqdugMk1e>=w|JG8`38A|KAegF#%rAaVn6I1Ui{G> zv8?lt_<+108TF<3hIpgbcs8H>hJ1@1#V7LrbI(uE8+*1x`~~;MyT~2*<{rNz=!gB* zI%-e&P3D#SxiUBP%pSiZ;D`IBPT>uEmpWQY^bPz_OVAVb;e0qSN>AAP_k;NmJ4t?r=$qkTcN#q5bDkW+8{r{7@y~$6Mz==ciTLxn`}}&{DnI$I z(EfG*<)5`{+$p@O+ljvKjJpxp4)XbZe>K`lxD(NZ{f1^+;){MimGSSA-O|sX7x51K z@FKoe_^YR6?TdG6=-HaJ^J>Myu2H|beV_;VNS#ohtm7&*!t+^LBj?jdzx1ZyT5cz# z=VxE>7A~Ld?*+G2E-J3+o6~EL$^q=kMVklPr|g~XrPd+$9DC;%&mNF|)LD|t+v!K! zbGsMGE5|GBjiKJw#%njV`RRs@^j}w@ZsMI~8>iM!&91HQd9IGu=GJwp8HhH#^Hc)V z3y-0>>l;?mbi$8z)=SvqY_H32%kH%g)wWs(+`qBgxa^Z_+r5)(DQf{Mt6RI3GumgB z6O~Wd*{z$c1>WuUn%hb{np@Jo0X)4oGK=~N>IV<5E3Xv~RKBWP$vvW;(7w=$Jp{G= z?7f}+?DY0EwO!sd_HugZwJ6;12QUXX2O=Q$ef^HBNOkoEHkm7KgU{u%z}mCVNwQJV zl1=1L$VNyScLks=bbI~5)<}aG7ZYj#>+Cp1G~{omkIuW?L1{AkkKk}q14a!Tw7JQD zW||7hWX6V&dqtE^`d4V`R+>@iLuprL`H#rVoj4-L1~6$rf+~g61CuuN$nZ>GCr(fX zm+LthRZmmp5EbaTs3zQj*H&MTYILwv?x29yM;d>4WA)#M_Siv=9~VZP{%h0O zyl85mlA@@9A}fE1%|`Na3I)W=L(5uqxiC*G1=3$mB_qJ1Kr<07&up-g?;IZ>i zjoT2{4UtRO#YmENV6+XWT|{nuRzuHdUpJ{r>Ha`7-lN0r4+U|Mw1d(K_n_pa4!ErX zsT16vNUV+OpzA=Y?|JCKuE3PkVyccWtn|Z^ z;yR$G>~ILBrox`>fVUPdKhoJiu{4#2#dM;pOzLJ(HB=1bn9Xfp3Qp z-}3oD_y_Yd!e@>j_v!E5+%k#vLKaRN_vrc644+g0{^o|&EsUTt%TQ^w6Uj zvCO;VS=@{%bi8B8r1Q)I_i?-e(jPb&`Zws!LQ;sQ$4-HH{vts=kB!$DkJu%e5=Fzy z*D$c)p3`(__X>2+`+9ib;A2PN%3tF!4KKw0fuQ_|GY((m2g)BB=0JZM(0vPW86=+X z&hX7}96B!CU?O1d@&@D~lLIE-uYCW?4;^N*mYBAVPwC5~q!tec~?zr+!+l8DiSUbTyI>d7e^&un`!j3v8L&yg<0|9Nwe7u9;wkPpt z-}mouCHP}&crRc}7!-9f&OP9H*A(xYI6Xxs((Bj;_9Dnhp&lHe4^RWlx-E;vixhrKVYcWR-V&<-V==HN6pr2;W%M`cLnM8OOVuo{gWM+8Rl(zTo%I){MyW z<$e*Czo+K%Pz;3&6wW$Lc}kSYHFwA%mJ20vQ)B5m1TtgU6m7%e#HDg$voEq z!8DUuOev|H%U?5Vr;*Tcm7z{Hq*v%<5HDL!IkE#eQJy`Xszi}x8K)`F&bDbfr3z6{ ztctz*9~16KsY}S8`H3o;sG95$I1rItz2C>}?tl+9+nSxr8F9rQ>RE1btw+avv;7?UiYLJ!iCEG zem^FJH@Os4#&K&6i9Ht~ly!-iBZ{#IIrps`tw&2$V2egs6s;Cnz$MoC zu=>U^Vg33&8Ti19$-{u^=Vo;IA{@$KTPtQ9sz*3uT_^9PJsGjc7s)%9m2Kz{7w};vi9Bwz7(2qr<(dkf0E8Q=+%+E{y)hvV?m{mq2eEK`xzT>HKzD%*HvnK|w6eP*tTeOxMC z-?&Ue9jTtH*hb<$-tM{tc93m%w$IYJ&}o#;ykdK;pQGJ=zj{+Kb3QYnH5+WtWV8lI@#iFOVXC&D6*hxErY z^=A=Dr?rX{&CW?FlV23ZieY&eF7ck94>AKkmY)LdNyyB$+P0T31~aT(k^C${9citH z#na*OZKJ*SH0bjf`$rUN!!P1%?aq_KYu3)INP7x2#bd?Ulp|GYv$l`pit0_#)#f2u z%JU{x6e>iwXIV3k$@*DA)uLXCOxnDhcc$Maguv=0NXPl?Tg@p}{;H6SOV2Va?F-e& zI#+eW|C>CA6JRy}BF}`8jAk9ES<197!}Ec0)4Ug3T-Og|D&uU_h0iyT2-KqYJVuPU z>+q*sJ*|a)$5^$zs$)b0%Y!gFd^^ptCCagHINF%t*sHR-d$MsQle>+}abaeByr0$l zl==3Gku6uPd9S%A=4#Gq6aP)WGYP%-a;Wk^|GFhg`a+aHGzHPm$yA)So%kh4&>k=L z(%OJW>=H(~G(GE{RHQ$@u1us@X(+ZDpevNnUm#RHS8n9wqwO28h0Z?Zc(Qn1M!Cw@ zUGS~W)m`>?en_N#>i|gwHbDL4V~ZLbFIj91K-QldTn`~s8Xv#!Zb#n!BUxN zOF2c~V}O_S>uRDQAz{r^EQo5`iq%pX_j9h~wTwbNqPoplf1{lqzpcBO0^k}-8uQ(K zU2&`EhH`^uW`SpVG?*q>$!IM|ObMeJ?~Lmm^G|fzpFY7W$72?m-J!IL;B_l;BSgcIx5K&RI16O2G90{|*4aX&JS-YKq0PEGw zs-==yf#kIo+^y$2RR`JE0H-{G&)Xie8BiwCuBB$7xPrs~+J!G{O*@a=11w5L^@@AF z+Q`LxZI#P_`#g0%*wa0sH)p+pk}@bF-PDzWhjqG=>TN`9oX->7x$1I?U2HFkInD7o z7+xYXn|6Scjuj1XlqH*(HepPcp*Ay?#8WkZd~Lz;%!+_$Amla?!j<9%E*^k0m@BDqlXL zdA$G|Cx;~HF-?dfLekAV#yYwl3w3_BN$cqdcJ3VmcYDDeFKG)s)h1$|yd?G?6~VT- zbfc(vdcx!fyR+7SZ-hn&@;;$;Q~C?Z`Qd?J7LiI2T!I5LqpEz|Ns86!aDaB2&*(LO zdY}(ZT7m<8e`B*2X=-a(Oy^&i4NGu3?21jq7eq-!?i!1!oE^Qb_kotPvEqwspN9(A zE!zceTvP1I414R!QI@rn7S-C0X4fx~#5NlMa@e!}!OV`sO$O-lH~+QCZ8aEpQ!H3tw*r-cJ<1g#5qpjMLN8LM(Fcis#?0nN;!%&+wq9)yUYtpFqrikOA1kMW_QE>?^m;GE)!762ekg+6o>M27-Fb=C7)569w zB-X@hu!nh-)T>H%_8?MIoX~z2OU=NDm(o4>wNKJ1!>X6;5B`?X;Mb-7t8z@mom_{P3+wDjTNqw9j+fKEG}_ zHy1{|WBzc*FfnE9_t~Mm!HJFan(d|HIHGT}+`(#RvQ?U`SDAu6H}#KaRP;ABL_SyN zHg}kL6_lx1J}HJ?a#BZ*FRK-mqN%9S(@9WUbXOAon`{`Xw>k^6@*A2XB_r*CM)zil zC^3_tt}T0bx6@$XuyH3Nqo56kH0vI?lAzYhNYvzUC8E#iF01q`A>2l@-Z#J4YCB$c zn2HXAEzGJ`%u~}oD3CdC(@S~(yTaGBhQl5F`{*Lf87Azl1G8F357VksXWoe4Z-r8lipW8|Be?^_pp)S~ie1Z@N|-NZ+h`H1d^2&rs}U_jH*y3YZi8M{-R6UlBw zIv*LtNC~19(->-bvbL?RXYsERGyj3C)bRG|dS`Q9-9g`5fhhr#zVBi0VlE@2gXB~k zjQi$o;a=gss#3)V?7AtBl-{RD-EgO?*O#ki#22Gr2zjSi+EH}KtICVHmO9e6SOsH? z=3Epnr~WG2W3-CRSHAH~UDb+%Evd=T;Jq>!|A%e=akT7GJHPcCxb+P4K<~JebA{MH zD(NmE6l3M==R0t)fB5@7pnNgsla1FE*EM_@3-|R6zx))84-00&qfA)?i=ZQK_&-Fj2jw#o%6Ap9B1RB-;?lk%Z1kii!?Auzea3u<5A&dpA zanmKY=u&DX(`jH^y{A3rig4>Y&F)T2_VZ<~YckvDL)p^iu#9CN>pTE!CMO6px-5)z zfj|NGU_w=dB*@)1Hxg1@aOjg%4YJc~1|E-6R@7Y%>W@H5)f8#E8#NUhk9dd=?%Lti zT5E2hsZnDn)hsT-$uCuLHPtU?XLLN3M}O5UzcZowCCqEvf+ufT%hT+*Zaul$Dqoqh zwd$59d4J+%Z&g%p-}b%l8S8hNTQ|adRD`up69gi7{oy9w7hfLm4ALZGU``w;SISV4 z8or}szxqhh46XvF^hSIDp!|+K#L2ziWI6aqGDkBc$4}#VE2>GepV!uA+x)j4KdBj_ zCz?<@EBKGR_4{i2{bY;xV{xw*Kd0MO*}WLgyWzVsc9U~Kq?`sPSlH+w-WC?y3;2Yu z0&A@U-OexUTb!#0ep3<5DbYgIE`7TX14gEACPR~XKlPIh;r`;u?l^I_X%G3uD3S6o zetYaef-+I>Sz8Ya+lX&Zc)@Ofyvw^jJ!zglbRYdqW&H0=P`oz%Av<<+#N^I8g#>VY(|T3FLO#$- zE_lx)mBTlXNw3GZ+}#&uE8xgXbCC4k;uUM#qZjpq_f1pt=r^j#$FB#)npxG;rv~}A zO9}c1?;e-S0??k_>BxI=Vn0-|(OuaadvSLR0Y*4GmWMtm#-70axc(mbkf>8m&Nkg) z3%0Y>Nl12EhV;IxnqPcL1Q=k^y+@8^fNs0qTf{kd8@>=8*qbL&Z-~KGd8Gyi4v~Wd z5DUpM>nr>a;{XBYswxhOoFzAr?2 z$%6c{n>ztDW)!zT`)bVO=?ZV{^;-4C2%A(NMRBBzaxr= zX@3a|Q&m#}=o`mMtKH$0ZY z(|-P2<{FY978NYxb%v0UNnJ$2<8~fpxG=Fn=k{JKDg?nf5XFw^ASd__vv2~iFEknL zEon5J__u4w&ecgiu0FYaVI&>8ZHOf&|H zQ;1*;LXla?>pN)#9o;>9L?j-t9gU_FcW!^ixJ>|x6hJf+O@AW<8p3^#hK&5C4|voW zB2Yo&k8s1b;4gK})TfxEq=025R1wn^jD6JgL7$=yaQy;fXaqFe!i!mdlSQ)Dq4T*pP+_ViEKxISu~Np_sDywgl4!>hezPJ=qg#3O zB+bYkFkEO3p$NiNL3YNdcNeI^~ATfVixp*i^BB86xs} zVhTXrZbCQI>1!{%lTGVrO96dBr^Xtbka<0pN8F`jhULF>XrRsTi`+sZKMrNrP-al z+`yYU(bMaj2`Y2=e5DW-VE8OM-qOP19p7%yONpu{s? zvq3;-H(LW%4!645!;RPN~rrZnrCXl{t6 zBzr2dV+U?sxJbrLF;)|$k7w<=Hpa=A#yq%4K6b${C1W(QRdxO=wr1nu$*yAyRQG1* zZJ_k)U*}lsxeOgc%N<^BYcW3T7(?R^>t22vM27b-C-1nPu=a}O^>mRp_c_m@9c#Yo zIsU%@Pe8E0sT*$EAE}>gFKb+X?ScL9?x3f90$7v_sYI^JWyWnOTOoh?QH}%s*fg!W zST6NO345B-Gr^DYeCWq~>DbRphGO^=`ik`ImnRNkvZ zNUY2WV}P`CuLA8xkR;ZAf(#gu7~G`5&NhP|$2~})`sQ+r*Yl^&&xwoEwi93g3rC#b z*;%And{HuJVRf1;(78dZH;IKQz+WUrz$P=sZR9J zQn1h#;bC>h*PeK&F;wkPsX1++OlPd_PbhUN8vF0bNeGnd4_p(eUn2qKnk-Hp1vr6h z@i#?}q0LdkCdSCJBobueCjoL?2-)zn;CsL|)nSw1)Ag{MrTfr9+;F6uL-k%0j^eBzd?5CZxJ`i@l7mQV+m2tRP##M-~^QYj9W`A(n zQbsq$L1}dFPkFkN{gm&Vt@Md8ywU|l9p{T=MdrkBPWxH3D^Wtb5(~7e2BRpMf>QYE z(y_|^8i%(o-QSZeYuoeKWbL}XxQ+(Jp^T;3P}`c8y74AgU z4ay@Ur!d-#wyGviS#>xNj5ZG^Ms8hK!&z)PPE8riW<6{7*j+VU{>rwRc-Ok_&i$)u zSiMCLtEXE4a=#6bTP9WhDFwnXdYR6w7kO6tWt~jrDsfHVRw3j1{ySoE2su}YTBTDm ze(A$6!LZ`r7TIMc^Wkw^E+ym?c041nGMttvSPJ_MsCxn4%oZJc?zV-GXqGIbz@3OS8LY)ly&>iEQkExXc%M&D zKEnff-9m;JkP0w^E=Qo|UKx!PP3$1m*09SRvdGaSmU)`w93kMu%rbNuBeXuZqfA2# zW9UIrVGKBJ4mH_^q4yCAgV*l#u%z56_5;!?1@`;%N>;^SkW$6pG+0;yXO!}D&tUkt zOv%8sUUu%A7``&sNeCnS*oArgL;NiyfHWchAaV0IW4Gjc+GGyKc@q8Z2@q+Un3L#p zFC*#zs>1`-CoyNrq(fv)<&FS_d-}p>to?PTQ>r0+Ft-Mps|n^$t-osBFXo}OKxR=) z1utWu$lY7=2U^2tcx%6^?ldnRo4Zh$EaXdyT%T=W4~x;1?WCn?kS-L^j!C?N@P+kO z4G^#FT6cBR=+;(~Av&<_@aok!$8|&?sJCed^k1Soy6Pr6t6ge^t1gnd;eTWmixvF4Vz7Ap^i?F1^vWU#1pY6*0dRH@aJ zrhDDa`sVRwzn*zk?nqYE?VcLoJW;-@W<^)r!(j)TA2@nwdwW-E6{pgu$$Ex`3>nL0 zc3SI`)mraBTi9LO)tj!c^)!cCcO0E~y1OGbx_Qslz?TdHt&HPuBDKgXOQ7FwM>wbi zN3iCPK^KXV@;^lT-G2xo7L5gy;}-qdls`LvAezEP;#^qGbLRHXJ5-#06It^|o{Y-> zz(@Nn?mzGrzy2)mFPeBM@@6xcJfUVRBGdy5eEK-8(y=OM^+024Q(w%fT(i1;U3*x= z$OxIz+&r?j`WsIe;=@-zvLV>tUhAOoVQs);boiXLEBT$91Dm(GLvFQ-^?02YpF?-- z$(9F>-m^WWGZjzj$YB%_eX<2XF`NoVDh^j1PTthkovheYw_E4XI#fx>6&Z$ z&%Y&Fltws2i9MN1?k& zhP6jrwL5{mjKa<%v&99<-9NBy`SKkps~N-|oMIFznbKL6uFu0F6#=jy8Kq3qJS^g( zdXT?wjipknkaMA~&4;fl?@js%0>kkCYOSk{i5BK>UsL1j@}7T}k@#r_tFX449Wk%I zb;m<1GkbDx6FtkfVrEIf0Js{-RO@}u6X^9@%r)lO<}cD<4_|i6mcTXn_V}%!XeZV8i4Q% zYzkKjuF%c6Z-2UNdE4@?;j>dizCm!L#z9i%!LAQ#w^4ls+CCMR(xmLlSRQDn<}{!f z$tMirm-t&lw$P1)_g4yh;mM|k&+=@q00AjqxYjvTxlxqZddhUFWUBO7k- zs%*TQtE}Yi#&2)jwQE%wgpj`xnK~#OF@}v{zwHB6`-&sI@K9oC^Xcn`C}F6_e>Pd@ zt>?vsHK5TsfF)6<8dyX~4a0L%qpr4LVYx|D$dyz~9XTlQ;;GtgAMgbyyKeJo{< zK7Obt=|9USiz9PH49jhE08Qd4r8)#UqGF6J9X(1hl6?W8M<=pv++LibmKc=XzqGKb zlOXnzcwa~B%38D8KvA?(&ng|2mw}TiPOlBLOnj;D$fFd={aP)`^_{?N2;ym zPJ;}NVrj-5j+*M1)!Q(F#Ao-ja+1|4uO;NBFxQ`o^{xn1b{c3k%LUwq%Yce6;Ts$2 zO?qy*(NyDDVN}TsMk6QB$PG1IA}W*16l$f*X{JHSO_>uzb*#hfR-tE=I+fpTlyYjK zf!u*qAZ<(K)G4{AvaJk!C@V`sC|M0<6?l0McvHq8lqz<{-rrc74?{IdJQBbQTsxBb z%Tt!i9xCl>DmvUAJHy-iRgFs~)Y)N+a_WUz-n7sgolB~v$`7x^ePM%DLt<_*r*4{P z@*8MlY+&1=RguBg8pA9q*Mk7%(vn!_eUMt$uI#FIYdZQ06YFnz2HHZdn(n@SSL6K; z9NONY^Hf>T46TIyGD`aV#_rzgW!v`btlF@-dE0{%u#y=9ncUYvB~u0J!q*qi`b*(> zk|Ge-FB~NlckCAYSVAWHRjJI5z&{a6kLYlyj({Q4JbqbRMSG;*uMv zVN7C1t-Fj-;;fsK)a!iq&~W_6e7N7q{*rf=*lKpjLPF=gxMgKIV9|(ak(c^f>h4ny zZKKIeyHc|QF_}z8FiM7PSuq`7edp>5OWpYXuWnqiZ=md%(f;=7p(HoE_1=|U>{s18 zhr^y$+w?Y_QKw|(c868QnsjV%`Hd^uAAa!8+17B!STfO8IXF{qt!xG!^FmAJC|(U> z?n-2CiF{e>ZEtU#kn)Y> zCTvb5RUj!Y`9iVFTiOI6&Gn^+N>e?|3OqQnw^`t&V|{f34-PJz>N$~;X)9Ta#tOZf z==sX?VNv2Va^5hJ-LDC}CteNGTt-gO27AC1X{m53xHlC_f|4mz^jqKN8dvP>u56$Q z0xrDBXTK2Yc)mxdH>8ODR8K(KN5z)oF}{Togr-NrE$&^eiJ|tjQG(Yt)>= zY%*x%=f1PzhOu%LMY3{22M@q;930Su$CX+T0*zY5P0MZ=Ehl9xMQRZY>WQiYdb$;P z1ht91K$?KO{3QB(DjMCpW%Itx{jDtnEd!fd_Qk5BNL0ZdI()DEUO94abThv%+JPV) z(S7`8%07M@bWv4ycw{0bN0`xi;rev{7NK=-??z#CBs>@`58QiLLmBQr?H#)7jG>?G zDfA>+2Lyh?m2as=osUX8Q=-wLT2%voh@JhKd<4t^Aep~_>+1y`T*BTh0uL@?bfdr* zUWWJn)4X@caMu~W^ir};4FUjaKU=Uas!$DuB`i#+7WUHpc?dyEE8gdU|MS>Pa6xYw z0T-$?J!^L~Hi7yUy2-(9hbC6vn$QsCLA^~)qQA#v6m5?*2iwQ%JqqrmLJ3Jh6?5`( z!PPc>WTUaIIY!|)`aflk`l=)>2g<5;b|qGJg7TRhVpKA6se0Kd)z#f?6P#L1-G7J^ zw4DC)aH*gO;=CVL;4M2I%2wc4?~#zo>?W^6UPt}~6}xqgKh%G80D6(l$WioHC-%83 zT$Qh)XOUjSh5qX0N1i+W=3B(G@>kJw2!}k2{wY=S)Vk5}&3m_e3Fr46xgN}LvbXQy z+ajJvd|K`)faYZHZIAf4r=H?`kKERq1gMrH<9`oPokZksy?LCV=gyq$|5ney=E`1! z_9=SQDm0 z;jR+#JL}_2IagQU@w%jIJ2`iTFS@$Ntpfje`uZcl2H+J!D-f?yvV(Z1z{BgL-&su4 zh^}uAU>{uRf-Njb+k7_UixKa+d`mDCIa^Qu1JfmGB4)RgK&-yCT8ozlLk{A17(+3( z3ehgyEO(M+aZ1Lj=vSW7HIDB|$NN}02Hh}7{4H*MsJX(yKAG8mc}8R6npW=WGDbsg zilp-X-B{5QaVga3!)C5^)BVt>q7+VGIddj4NLPvGyt6^ddY)w*$tcKcfW5_dXm1IR zCP!Cww~2HW@dhv|$=OdX7}bv^?-&oNm5h$m89_WIY06r&tRAmg(%R})H0P~t_wwt< zf>IQagGgf5=V7<${Z!P1N(dsm5J7Qu=)0uSJ6Kq6Ugnr zI%lMie->#JL;ld69m_`W-icMyYq$AoVEqCR~j~2P+&(9}u?nG&ea{33nXSPWLVoI!0;*-*8F!RBUCScFLQVWLWSYllZT8 zDT|6o=Q{*4ghT0V2LxU`=kzLp7th+UOyE04e6=857%r)zimm3-X~Sn*i1G6q2)P|4 zNr*zd!*7nXhMg?;mI44?u23>>9n&_g+>=i9v9cn-N1TeMGTWclHm}^BuI^J3B>YrP ztKxw$_)Pxv=Yf0Eie25=&&hfSE3J~bY|Q>(?HwzF8YQ8U6I%F*)><=Gk2hT!u{SKg zA@_-tc~StSWqEE}s#212pXm&rmvePY;DIE5O5lMkAdFu^T0V^^aHE&t`@Uc*vB*zil!2!A zpmKx9WC8gEzLTU`Tf|+vLn8cObDT>;{^#RY;Wc2re^ZDd*B?4G;*N7l_uM>AbE~v~HO$ zj@DLlK3Zqt+i z8g-Sh25IaK3dWIQ)In$iWNUt&duoO)r2vCdD+E53o)X8K_^HN}M%`E?@KA;^DDb6H zAaDV0t(v#y-`}*Z#1Ju?lc6OO6d{&YqFoI+h z6s@&*jG?9wsMN9BNsT@1aQZonq%`)B)9KS<_{(?_MeI&;+DFgis`g z5-`hRfDbZ;8^aEk!)P0aKbQAoU(0O&43C9(lN>~mUyG6yXA3(Wet@ShU(hL$s9(Thk2_Lgl93F`;e5 zGN`q_NKS+<9=XwBlP7R?yS zY&MTByQ=jU&XMeKDl`1@oaSvX~WFWHGV=Waa(G z@9}~>NTEH+LS(Tj`sA^tS&NAC%CQy}u4U7Gn+&OzD2?S|Nup1QtGzyPwYLwd{)d ztU)3aw!j@ee<81A<<#Pa{kbdUaI*2`LEvSMAx}y>te)uU@Lkm=`wErjga^}i2zz?k zQ{MH$;X|hFpeRa@Gzy{NQY9_&E>KI=c}WifJw2G-bBDl-(zdS`cu`&&R9WefP$N*- z@Zvtf5|47(8vD!n4)QJ{ENXaBm2@di^GbS$i&aT*LH7TH;TP3CS!@3ju=cx#8j~xMHjE_knatkLwftBaa6({+2{5g-dClRL3J0q}4@Xdi-XnGUM1HN7 z<3}&A8{%?(2dKzI3;+Nr8JXmN-Um^KAb|6K-001T-ay`va+cR#+c#LX1s{7} z_-gt-;f9`pexSdt0#Mx#UViD3hxhev62?Zlgv9U?ND#3wHtIrGk zSJOA#C-7PE`?d;v{sd#2Kt(l@02NhYcu9;z7ETk9P9yo7KLaaQ-tl|}w&+^e#ieHc zZ4*g`t0A_;1{(#2z>j3FJFqhh~(GctzaOY{aQ6E)4vEn}k&dyBm# zEjBAELWsSl8L<;&vd=Zvk|2DkGqL`l>_4?y)8J{}km#$u$>= z1Sh#9oa^c?w}IcGN$>nGQ_H^`QY%m}{~8(VYhK-6H_;xFb7cx~DQ;j(n?1XFSlZM- z-WhkP)t=gb*n3npdhJNiW0LFCl+kF`DGXMlv7#$Fd9BAk&{iIw*x%jwz|liHItttT ztdOqcdP`~W#ty=#Ji>!{rQ{(TdESA9FJE{K7?*xh& zAgG)V=Edc%260PiLHi6RE`H@Ubp<-9U-CexW&JOBQL1YEU0#&}f>VR<@Tva5=6CqO zVs%;HjfflO+VXu#xwxtOrE%rtXbF;hGiezTwboi zv;GhNkYsi0dpKj|#>aLvOj!a}nK|fuZ;QhmPo_uCTFU5tup9!}SKT*;xT?z>a^7QZ=>Y;>DC{pG7BMp}F_M)mLJc+gTk zu&UgVC^v?C)}BuX8*s*+sID}|oBU1P2#T~_IEz0|?m^lmHm}5%w@PEeo!lL#Vsi(bK>M@7a<1TT@$yK{+eNm5jZWo7(TiC_Xm2FXHXv-x?_JS=O zTT2_vfe!^Uj($u=5gihecKR33`H7HA??g^w8&e9o%jwj+LWIwvK8dDZB2&ITOSU!g zgQ0m&ob2~c&1biIy?lvdrKhfD4M3Z@oV43}NJDJCpSA1V8PNX{O1Is#gZ zq_iSgsKYXU%unHQRD4z_oMhtd5)$uE)eF?$L0XzCTEZgw75u;DpT7a0jjJ$-&$;a@ zMnKUcaxZ$w63^fGbyE8ph)J$1xZ#Ia*ZW(ubAW z$EC<7w1oV@j~6z}+uiy?S`nnrs3LC@Pf1*$+3ZGIGKD7li}57!HLtZ&_^1(iM}x0ol(a@|z{D zxS^}EvZ}#kEb|PPO(K)vGEf~ZuP;+9@8^PNQhojPRUkSe#!5xm%MqB zx8$u{DxS^N^DdX%j4t2^xZLWN`1Yy(!ZrR~G#PJBY?I#1Y2&UeZNQ(;fT zNYp#n77lc*s_}ODkX%FrT5d$1T|&!&YOB>2AXGS_M)kN_}pneMFTf;I5(-#Fa~p^p6uz^U5M>}WG|F1aL-LwzuUbm`m-{w9Dc%r$b;g^-20 zIUIQzVpJJ1EpGHe<$+;sf76bJ3RPjAGo^rBK`Nv#yexoCuC@J**N#%5LcJT|Lei1o zZ(4I}ZhUaV=DXH}Ep4lXqqX5@^vx5k9aoL#d>TXZ($>x`@Ce&8`p~_HHfCdMZe2e5 z&^@M{a#^cAGJ4h2UId$)E#8m60p351bR(}d(w$r_8CKpR zZ!yX_qZm9J;n+-zi=Yzc{G*)Q=p;0j5zRzyA;GhNu?X_l!aES-X2tvciF15)3o5sO zxLFt}UX^^J3fUTj%?cV#(`Z-Puo9*LGI0(KiJr%V*b9JeioOjuw2xhzw>}N@n?NOG zwqJ&IFP(z z`wdetwgt=q)L)yBJn~k9IWTx*P5Ew?p<_)5O(QW?4B%p{RfuyjH_-@A21iu+n3vEu zpL312&*t^xqN-UHtRgti!UbOmbpf+c3S%}cxDPSlrRH{5^Euu%s&Aj=g%%A0UTWkv zu;4GJhKjy?*l&fj?!tC@@4OG_(6v1deSCELr`Odho~>*95_b7ezo2#&UnoxiIv_~9 z?j>Ep*s2>xviE-eo?ZP=+f)*ww%M{~*A3ePS8ow@O@Y#4{{dzrRjx-<8)p<$t8E%9 zVzaU*QDa_}ZyMED)j?Z8!nsGd3eOiQZ2WXZiuw-1UgmZot9g^yD_?h8t;BF)l*Pq( zodLWFcul!fs^#oD_u5rM>WNxmxI{@^T=(emfZAi{Boh1)g0nj<8j7acue)cmxcfo^ z`jyaF&P`G>i3CDSHaCO)5kPFPkp%J*U`gkZb|j4{@)1Kj_(MF(blx)XdeBKG$UKRY z^y~Ri=eZyfR)sMZ569ohTN+1kH^{5v{V08j+8ykH9C#h59rWu!X%9Qk@nK8+9p2I) zGJHNKOF6y|s^9YoglhL(s?xH+x%i`mQOa0XqAwQhj~QE*ZJ21uUi-Oq@yWh~TuNaQ zp$eEgyP`8Ykv63#uAFE|Z@Oc-f2bqMFgQ=UoCd8?XNqL)!Ip5SeX@J-;Ob_D!NN+_ zEKJ#=VJr@drK!UeZi$3*llk81$r!6KFm!2-$W!EQ_nG6!BpSghF!Y8~N_LGg0cEyYJh3aC4Np`@VylHy^z3ZYnAn(JkLsSh06| z|E*mEw+yeD-9CP2p=azGjx+KHha_f$ti8@Sa!r2UCx{%nOgVsL$~h*7*+4 z*3X`>ZCu($E}I&Jsl43u%}4WMA2w7oTN@u*y;+QQEd&wF(eANTaZOYsW75~$zxnP5 zNmBJ&A##&ZN`JSNAVWzQxe1LHFmlrsigT@#tri?cZXRG5lGQ2>C?)u4PshrvNu{E2 zuy`4X+*!g!>{|;%so3)Vv`s1{eCYEzj2p^3Nt@H=7Dv3d&(;L)`h}RHL zr|14J_G98{WB_@k0rd@KqLFN*S1Rj~^<-s{NFvK+IV90LobBn5Mxn7HGpwA=yDD-7 zESZ)(lM|BhK(bL64lIPhEugg+2PfQ^$xAa)!EBKkZeXQ=Xq7apV50>ZCFYT&%4UH5 z7?YIkQIUitXfsIbgL0ug>Sl(Ouv+<{iam)$7h_KnXQb9LgiIGdPaY=^Ah(r#!ri-e zUB?zaHneYe?{J%aW1)S+WUIq_-EhzBz1{#iGn=t8w~ce4Qpq8<7AyT0dgiH z8vRuNOUSyoY#sE2H1nWgTcW^=P4jKTKJ6@D-#XHbn8oIi)2e@s)MndV?2IK1l(yEn z^>#tb5%NACDaIEyA217U1naY_C0CSO57po{wJivp5V849IsIaxZZV^y^^SuA#Un$+ zzacjw_lkKzw`>VIcO`aVyVf^FrOOM;A~6{;xc9ni*AJ&>2gfgBi01^;tLiimZNvo! zx)C~9zr4U#bupNpQSSa}Kwaw| z@R`E}zWj&-+h+L#AvL8fbQRf+$ZL_|Mr1let5_5 zry&)$-L|PY!7?ig@hjv>V)TY-MRh^Ig^aqja5u?yCf1uu*_~=qL~mg<%^a&mJ-ZLPq~-m zgT9`%Ev^9%mM(9Xoc|x@R-3)qtLx++ScBeE{ux5`-noC0KLSu)f;`)3_qhrE+6#8K zR+E6C`E5u$ddCZzHjTUY6sANFB#NHTYx3@)k(QBIhZZ*lMruuSK}8F}td_ zg-sLH#aoEQo$9aG@9cM%cJ>u`d0F9T`M_V{1)Nr2sci%fyYTXQ`Vooy*Y7+uOzACd zz1gFe^%dVFRpx-z8Z@z+04{1>W;5LQ8zj(`1g)`iU}Z?rQ8ISrs&OYQw_r9pR3!c+ z!%26(`}QxdW>^X%qzW3l|NL%72@|_2XneIyEnxxQhhYHcjWQYbd#M~cIvkGC&KzhW!{9CWe;>Uc`8mo}ja;IWX>yy;ps#mPB3e1$UmkayQqEWzJ z>ckg6##eVkwLZz$bact=n3Ww+iKOv1KZfH9M`B=Iu63nThB@3WJ^p1>sy6GiW+jQn zD2Iv(KN#B~aEAswuAO*pNTO|~UVSslR>^+<= z=j#JZe8qE>~YeBWW+qeVg^j~vAiavPWVlviPX_Y6Vtk5 z{#tN}Db3WHs#;O{a)ShYluu0ib;o#rEodU;MwiNAS(AlK*qVHtpcOJ&XK!-*+rn10 zG}{u)1WgP@;u0A}`Cvq{mgb0)kr8jQ4vSW;SKDG89j*FIM$2gwwA5rV zP_$l2Uvsr@^_mskeyKt$qf4u+U!q3*NEZ2=fJH17M=XJ?74ciMak#`RV2N4%1n$}i zevVTl8wQIk*=Uhf*)z(vjIt%eUe|{)EUdR=!}V5WoM^b@Dr}*W{jap-FuNNpER8JE zF&t-2NbCZX&0-!=NVQl(`wy_BomXJ zLJtC36+>^#Gl*KvHa{H7d?_e7Irqc7-0B{dNNk~L_K2+(p+rwXu?jX^f-^$i6qvtV zQI_tosGG_q5r_{mRONN97Gn(@!*MaDEtYCi zsoGL80&&GSE7KOty(C4Yk9F@d#GhbuyMr%;(9q-7{nda2I<**{hirhQFhwIo$tSRW zH_;+=n(LN6#)B?%@h5oDsWtRCU(;ndP*3QrvQh!8DtpUVy=qmH;R37nNyhFL7OC5u zNHFX{nN%WE$PR8|0=e;KPl}S@6fKq6Iinjeu}$MrDIrtPOXZ9|w}GAz+cJ?h)0Bjkn5{aQ9EhLjuNb-1V$t>kxSMbi2s;eK(c|b}aSg!H z95RZW6uE2OWC`j`5y7q~TGXtc806 zciD16@md|WvAb*)2QE>AhnV=19RtqJW)A?hR3VcZ18reXHV8C2H3eCTJJ}!6Dg+V% zxH3c7T`nX3Rgo)ey-m*EwkVCgk{oZbP^^L?!DWDP-lxx3`EFS<7;Q?FL|vtY8X?jof=&R?t((Idac!n^0{aDehWr50A_3%j z(JrR5`yBvgcK9v&EwV3?-YHYfd%jchbBv!oQ}&XRl^Q@FMpp1)tZQ>IAI2Knt${*+ zPRe1?DZ3IQ2!-Cs868Ues34)}OyK2r3Wq@xO1_}8XruzbENUrWJm;-tC}1XuRD#j4 z;?!dRGu{W7ku23e4MP7w0*?f>`hZ>^aM&XnyEYiXV^ey&h733)a`#Tt9(m2k1#wI8 zgWf>atHl-3wgGRn z)2}ATYD&Pm6mFlpYhCMG^S`MbN|(FlH_QwuDzn)T^%}MAn8ncK<&41=hdyE`t)9Pt zxo9ob>~clG3?Q`8)yk-kC#H=E?J_W}5qFrj2Bt0mn4s!bRTJWl|)s1X;kUaX&kppPRO#0=CyIju2M`p1>iwkPToz;f9paT5aE zrPM#pE2k;j6ZINiL{nA!rYr$b>1x)C6DnVB>9+hW2Lr1*xHSF*^Px#4!d?LoLelY!px1gs=2)(~3*rHQqYA(g?hc4L3fs}n zGIW>@A#^wm#XqZ&rV&qwApKK{bVt}tYMYKarnASid&zwlQ|y3dr%u&~ANIOF98E`g z$Fw$kjIZyfq1V}Eq`7&gv;ANN*|a(nSV4YLD}yqIC*B{`!(Eki?LdBGaUj1LFu1GY zOa?4!SvcVAgA$yw47C%s9)m9AHrQH5o8~uH0F8MRKt0~k)xo8cqK+rhmmPwRCwQCZ z9bTo3$<6h;OjC$%9Qk{T5!_j zaJXO=Gbt(Wbo<)qs5o0XlgRj2xD|N z#adk^noLbur-H{-d&#(B$K|K*p($ zaOe_oEf&A4vMF4Cf6walF9j)BBH+|(*uN6X0BnXtocdNcbP~PxIdg0FB)ai=g;Ehc zi5|$)8M7jjQJ8TgcnZA{u_ImRm-A|*Z>o7J+^WR&9zbto7s@f+!d8=*S5&Y;%p}2o zK?1mJ(~`m9Q@F~XcqHfd0q#-i7oV0uqVB|TjH=UycPR=5=1Ui_kvD+M0^KN(93 zCjgAajS>m^L>ZpNO;TxKD}O^)R5K)iPa=d9JxAUFv_vyWpK&i4l>`<$BlJ9ZPf5z!;@l2ohHGX@W1@fdo@>xwTt@InYJ*}@P<)l=_pxXTwr%FP~wQz@aWAXF&jyWTMeoF8< zKp4%t(wZ1-G!9EZep{+}m#?`{5nrk+J??K$RC z>n;gq16r`eafY&p0Or&z?&}x~I_#;PU)&U>zI|KEz8m+YST#dpfcfn~@h^NFHK1X%`>PZUI0LN$Q2Tc#zWkI#7`zfny1V9-*gE0`F#5jo z=pPkF|38J%|K)iA`}yS)hoFC_H2CLguLzLGN^$arD$ngqMpNE8TDkV-rCt(@3BwdN zlg6x~P^Z^5@$tbq*4`|>wkgo{W>;^jZ3C5iqIe@@rS`LyRlJpM)*Ywe*xIY6`w^PzSsE~r83Ng9J;JO6PsLfivk;cj7 znqI#~j}daSR;>dPh|R+8c=elK>;lt>;WD{w^!Rswy1nm~Aqfkuuaso+D8#}OV8ksA zN4&E>o5!YQRS85iQdIpTV1(yA;ASBun9s)zAg&{Mzq!|oZt;cYMNvqZa8fCSh z2l-c@6~+wEO)edM>N`K(-hXHi`X)gNw&E)YqC&PI>xlKlQiK8{=5-tG8#OCd8CGF!U6L+3 z98<*hAKJBXRaY$5wQA$8L;ETJwyWHJBz`7-Mm?YHKBYR7yz~bOTWA*3LP*>A;l`Ku zBgVLSu|pIl*5htTr;>rvx3=`nmA=c@sk-y}@A~VMq4wNY*MIqW_}N4{owy%<{3F$z zYWBjTVm1l>J)LT9PGKwH=Xo>y0Xtl|_xv-7bTa8ho73rL^e6CQ@k;pl4|wCYda$%w}57WxvmhjbirS@Uc;O=gYj%&?sz8i7g|VN)0f`wpz0D z0wIhQDsAn!#kgval3pqk+$%e%JpdB;BS()3LV*~+z@uo4x^ zQaYcl^Rvq)rw8rq&pz|OmqrG9KeoiEmeUFh!`5{Vx;iG4S0271IN0PKZMS)BUX96T z;~385?OC5qwLQQ4s!ab7%*5g=>TKv=e~YrMkrJeQgPMk8GxCk7!Xz0gvMXs!L2M(;Ni{2?o=e%E#!DaFPm+v z*5??eOQ0`O@aYoeqkcCZ{)opKlzE~XJL`UMxa@lgV6uJgpCy=V5i^3cw`YB{Qps=} z-IvWG5IAzWxnLXWV+vVrn1pB}fCb=7DX|6wO$lpMw&ns4?&X*QFWwDsMSx4S!|TGq zgR1A>@yOyKROMy5aQFcEqLiZvlb|aR$cHDfH*hKWTfh#^3FqI%&f-e)J#hX30wuy< zU_ZmxkuyjFxkB)Yibl1rljwmHTB-FJxxpYmiQV;LIP85E--Eyv*9{;BlNQW~Q2Vyr z`V24Lbs`+bz0dOCJ|kRU;KoSVr>C^0;D)9_)LQ~o=KGAWwBQ~U_UqiP-hrJHOuM7h{+a`B8Oz@4r1KxN_c;oYkc>X3%k?(`^qHz980D(uz|AR?nh;aT2_6GV6 zgqREy&VP!X#r6p2q;UQc_6G4d>`x{U&VM1ap8@AG;rwL)al3Gx5zc=I&K<%zD?oe? z_A~4VfOt2uLeKA$;Km&E?)CnXOlbh(T1JZZb^O3KcAk0hVn$t7!8Mp_30^HC> zf1QW7yl9Gioi_;puQJs%-ZpK#ZwhAwJAz9XN@DOdyT-Z$x&B-rFu0|S%eJ=Y$YXxY?1?c<$K<^d-xePpQ_TE{QRGr$XT8q1=Ym3#n{QTex z&lJmiu6?`V=9It3#1BG9)r2d9V2FWr_>V_{VD8Hg~u8*O&`Q$%arLBEGR4 z*a%Ba7A3>m4KgMXA1_Qu-iUM?RmWs@=oZnCzQQhvYwO3VmjCnHL>wc9v^(Q8hUa|I zxa$5W{gi4^H>hinwZ0%K11YMmS{PJpvZCN_$fMI_vF$V1>b!w(yDXu+TGIR;n{1ej zp&OVSWwf#vFAV)exWGC{`R6O47mR>}=t3WHX!Omuv z({nfvyl>qA4?b3(&*A){n@M#SL?06mm;clDfTgvg&Y*xPbz;bnaCITnTgIqL9Wikx0QW50GDL`r0g=TuecV5I(JX9S@K!(?Q>R1xdA9{thJ z$We593Ek9 zf3j{G3J?9K=hz#ot8&O6K|koHTxL2Rx=uSlatW5JULE(i7LptqFwW zdPvW(ejD9=%4n6O6&=zLEAXA*MjF86vRtOl{4O#}iQ42urqEf$Sg|b;wXuqQk)Gjq zY!ui3$Z1MK+p#7DMMG&Zx`WN4gwbFI>EP)r9eP+o=SmN>I*Ct;8iR0HNhre(HMb>EC@r|1AeF#ew2cAxYWe% zP;R~MMD0-<@{bQRby?eOcFq>rw{`~}BFE17ydCCOn?G~A89;4HdNzAm3(T9gUQu*B z`eX2ocw3EVTT-&f##7xW4xW?HgH5Dc(xy^L$LsKjs3yTdyx5j7tge%Li(#dLTEBvN z*07O>(v`b-KQa~pEmmH1baq|y84x4yb9&-2wV)`QuYgy9Ptu28ms`G9o-^Oq?IerR z#< z+0|9*bq0IQu4OwmBJ7c5@F!h|Onp&Iekd`GF<4gF^6mm(TM`edu+*gfiF#M+=t>zL>#^tR^bG+Tt5p^@M zmNh6FkqqMM0bdZ+3lqs_#dx9u#7TMqQ+ghIetUN9a6lml6gyTg(?tBE!+0MQs9f0$ zkhmtRa)zou_VqHs+DEikT+VmAl^RQ_2 zF8XPI-JZ4d14v4s`~0@IX|8&o-10!)jQLTS4UP>3=*NHVM3y#t;j%G z;PT@*i%z4_7>PX@_p@J<;A4r^fPO17YFs;z;4Ql<=~r8##5(GD-N<6}%3eELXqP&s zUE{d1-|TJeo!M}cQ`tIO+cj|efrEQ)-_um9=eS^IcGW0C6Q>Ut<+B*WiI~&G!CuSe zp7jNI_gc#=eY|A1UAs(aPZ~s5$)~4?O&NPLAL?>)i_N~#EEM1S%yx|7)DBzdioU1E zv(z_Ab1ofI0kB>gW3(9FWFx{zUF- zFdnJIm%W)tol8HoXA&InpbvQ4)(A~Zab5>EO2Hs>-d*n`Bi-#Gu*oWWzceyTWAaZv;DSQUG*g+ z0kcLgrCe8ut&6(X)-$V=l9DtUKtTaoXxJ6;yw~MBQH>#ZN%P;e(gJy=sptW!&fOSaHu@P$nYdolqhvAGDWjsQ>>f=o7J=Nnl7QZmK0rolT9L06dQX8r7m3Ht7~&ruyW3x` zpeS$|Y!st9*Ks#M!GfI7&1@_x%T7b_1&9AO!$Wn#sN(Vmv{g6*Q{dYH>~;hv?Spif zU`98aNT^6Ax}a~ZMbWQXTKWW6>kmrR^z^by)cxjkn3Mw!%EJu)2CX3vbtlQzA z1Q0?aGnJhaI3zX4Nm0viXD3;B1a-oFJ744e0`d&axWgsx)nSw_TF!ze^2lo@H?BLct@(Kn9K2*%$70aA0QAq-XR5_Oy9i_)PJ zt}AhG={AQn>}F_q^CJYSFD5>*&S(rVCo4M-sc}t>BC}VL8|tg#P*J#Y+%;NOvdCiY z$%#!w(HL_@9X=z`hobsaAb3lG9*d}K${%6FFL9Cv0U4V&8aZ#nZ1r@&Yk$Y%7b_uzt))KmR*RVKTL#f9Y{JMA4nPGvbVS8 zR8A%e3NxuX?8;cG7~t&%T(_RY)cB~p+K`Ahqd z6pMTB!HDkE-_z*h581?7bJ{&4q@LvnmCY<=VPEnL1xXr)$Ty0oS?Xn`gP#k)_HIpF z{p5TiGyT=$y9_)6WlK2EzANu%lHXCWvU1Aei!IR-i-*&?6K2=+RH>oSL!H<2CX)OFj6YMH-PzB)!Yu6H$^K26{2^h9>d zJp8Sl)TFePhEM5sHoP>@a-gr+?Jvf%vUZNZXs60N2#jsStKg`x?u~U%ky^DgoJf%# zburLj+a69p^=dUN@STLtMq)|oFn5Np^ujo{#FU6+qGVUKvb^4X+UzR#%V-{$(L5?1 z76n+`zfGNQlvTzb+{E0<$j!#GZDgcrehbV~kdoagn3X--&uOOC%zP=_$(8e z*srjnw z>!%+UsK%%e+#%dD==8c-`B~W+H93oI$#F@+$@Kr0StP?k%jVoc(NI_Dm(_i6RlhXX zu|LqU3Y#)oY3g29w@g{|e;Lpxi6T9p6PZc3o9O&FBRqm6ol9lgT zS=@oGs2*by#*dpl%%Afg7nn02PoH&lzxivauvZ)*-LGvOv?02`yP_2*=%p}21v$J| zk9_&Z$zaY@O2QqIML&PnLN+W=GyB12ij8uuC z;w~m>`VsVJjfO~I>TDa5V>9Ya8+sXkccz8E3*}-HoM<2fBH~ANkdy6=Bh}`T!jkwv zTmVDHC+PP)hij}oK^|Pu^t)02ch|2=ojv^&nT-!wszvlPoQQ zvy*^mT|Up}_gS58gVz`Py`Jf* z|2$s`N%=VJU#>?iIq`N(wqD-9ZS|VnX4tMQ{OJ$)z*K*~q0`VPh99ER&?HIS%c!dl-oI*T#ko_dXljXu z{Rye55la4usi`?Z2s>RYg1dBRZo)^4o~*HrREe_D;)1UY;l~|}=42|1Pva_;w=*)s zuar$_XbN1EEwC^Hi)Qi5OG}e<;QsT6niD`vQ@vol^!m>+jPh!86FAmV%Rk55!Y&tz zO4{5sR|~-{-s7TUl4fB#QjBDqps>1mY(1fs~) zBIYfyYQmLhTuE^6i4gAx0RqqijoOs&i5WfG2azb!_Nu?=(2sv5DN^9#2!_m`Qm{dM zxeMOv-C0NEgD-=Y@pB#ulFXOjwQvbaaA1>=LA3^+GR^bIn!5^F1rjFh_d|Td4r+SA zQ-yBC{wYeusHznmHT9>lyc0HnI&B@|)r^4qYv{KoWQQ1kxN0ADo~ag;8u*>diZ?95u}hTgz@-f4zf*c|{@-UX+pNDHnVca;!;X4KBOR zBhNf5_tljh>yd~L&kE{d%&;|CJ%TvtN9Me(V0;Nm>-;q2zW)ML=r|-P;8~Qec|@Ad zDdMhq@Pimz2wBOe&Kxmf{1-G%vl{KgNY6wOCrCMPWDw!yS!hFy@ja5Ep;z7$&oIAO z8)Sr>yF5qJltgmJ6kQ-ng(XT_0C&(AmmL2Ir57F@hN3a*V@N^s0HRiXOaqsRfroyM z8Pr>ML_j}$5l$j}qDHm6z>_)_XzrY>ZN8Q0Gu_w&Y1NP%mig3JRb+k*`Vm5MM$VY- zG5aHSR`}@L+}$hE;Zt&s@lZ0RVNHCJ)A|{{A|tUC2W!T+*e=o%lf)m>yqa}!kNI;u zc5>Yx8-u_oWLgO#ip{)^!xV0mbKv8xs4d3|ArOsKxLa7M2|0crjSBiG9|a76mF zz42Yf*t9~e`MUHz>8L-5Nx~ceJ+BO&MdCfZSiyv000ta9F0UEg_!n}NqQ~0eY#w;b z%b|k9oXso*=Yt2b9^m5K=+2Mx(>^&y7dR3QJprvdv`Mxssd+#&@r#f%qgJ?#(EU({ z*Vz)?M;h}?x`bEj4SandQ?f^pfh7#zLzjz zSZPQ0v{1`3)-&6i95K3WTW1!FJ$=myoRXY>;JYUlEs1Me=IheDGsN1dp)2jg24a$3 z-k3;iO~P?PDIcp52uJAsD95c-z*OWuml=46>3XCbxQ%7uS?Y`qeuib~SGJKvWPzXCw@Xvw>i0=!L4_EfeC@HhL zavRC}wvBmyPA4+7`7s#8H0M3eGJ00Sg$` z%=kv$X$OuZ7K>}k1b68)Vov)o6E;N_+tc*usCyD)H$&_2WKGW-eFVuGk~SA0@(~r= z;mTv~PQ&8^lZX9xDdY|eA8$t-RH2js(^_v^x)G~NXfmJCsMNqmPpBFEmD z&HSkT<0P-~c{|Y-rW_luEE3%lJm6=r-DbK6E)928P`9GaurWzrvvB<)DOd53;hvK| zEEoq{h9mGYO0P(?@NFt^5yn_qGM2D1S|i`4X&u6t$8LBtWt~23&JpG6;y0`dx$r*5 zp>Iy-%tw^B;KRpx6wk3Fzk>354!MT?H{khfk$fcfk>4}T{dpP%ckk83%$&U0+hS5p z^4z*7@riDklWup8{fO1&qwr5CI)lfm5;pLB=34bhi~ACv{fCq}7UVS+u02LGrhvQx zY=oanTk+Tg?MSZE^NuB1a8n3l+=ZvWD^>;1~NXOX-qn4fQ_?{d<^gM0(HbqY>Anf!?EhrXtpe1znJ@~F7B$kJg@GFj-NhX6` z``9tX_C#2l`I3nLh94R1U}7r@nkjYA&U07L1R z5%K>MA|3)%hwb47;$As~uG%+=v+?NgwY7yU52-Imt{~eqXfz^R7H&iT z;E42Hk=CQiScJA&?%9$4J*D8TOg$+C4(gd7&hE=b-&PSKk&tYxo9IGmkz%s&?h% z`jP7%SKVp?1lkC{dJ93@ch`sOsLh}H20GBnQJn2F`_dogi^k9;GXs1%jMy#Ocox;dDRzns8l*$6K=yw?+Zo)bvoYj$Uz zZyz|s-6*978PqUxHf+)ar{x1Eb65kJc$wNuz5!yZA2>H8ufMpoCbyNgj82IN@~y^w zJaMHRWJ^RC$M_t`b2kQIi+3Nqed&O~3abrG^IL{6Te)QJ2nrVbm;O)HqH_26DFarr zMEXGB;y~sa%YL&r#JF^=-vGxpns-;Yoq9n3I9z;${=wweuzvj%6zGs0*`oOzqo=(r zHaImHqt(69H6l(Z=RRfnwR}HDq%6qQGgr|HxE}7^7W9GZTX=2|P{kDviTY#AV$AtRVZZ6z(1NHO87CM(+e1OqG3I z;S32;k9kL`7FRalGa>*WaL%e^B$a~DH`T3{9G}v0h_->&Ga?4Ll3{2Z_`Kp zlG+e66Xakx>>v=MDQG8XX2cI1kKUn0f=B>3!pZ{|kwS|hWR~9o=!{B)L-6KxUoC`) zMFT^|PhG(9xkCg?1YQ{UD0o|k}`Z3UYtRHM&aO9BV!}7Z6McCBs)idNR#69$VlnLnC~U_rLN@cj`*fBG_9ek?Wp2 z`9S*2td%?)%)e!gUC^GiUj$)1VGGOM50A_=gRN{SGAS;m(~vFyOtL< z8QI;X@v|>*Gj7jr%6(-pm+zyCW2ZO2U-Iptyj`_7yEtV2!X=m4HMjJ2*4AlO?JX~8 z?X8ftdPvXI;?d1KJJxj8w*4ct=&V($3Uadh+tAN3EDc(=^A|ZkvC_KkcIc1x6^~6$ zp>?H|z17XGE-f3YN4rrTT;VdYnS2A`|}g!NYT7B;t0`p4== zoBg`?)_$gHKQOhjMoL*(n5@|a@KYYBft}Cz>Fnv)Z~My1-m*G;_|;_)W*IpdzC7sI zD!WG3c61rHbafdMKCBgZZEh)!aC;LKJ}%Hlt$hPK4;LRC_L8>V+HRDpQA0Be56knh z)4C7i$;FNBFucY24fxAO9wSj6rC&#zmzC(()>PEQ^I&T}U6;d}mzQ*Oh1D znf<(s0bctiZ|;DH0fC!`!1S+w6W86|BQkK@?w48c`xNM72Gl77%JlTqngQA!4YeKC;ML+zi6 zrpC)APB1HiN!gVlX0}?=gxVq^uf!oHZ!Ms^GHiz92R?mM;(oJ_muPf&K5<)!T6DNR zLD0ka4WKzv*M;Z|*c~``+H@+auW5+wHqMfo#X+CUnE;-|pL=y|}zOLv%2> zKy*fQlx#0;H*ZTB;l0)iBfQEOalFPiMDWmTkLV%ZoE#0jQZ2l_KlPIU41fUwzW|Sc z2B2T$1O1qH86W`bfj#iIdf+dSKws3mJ=iw{AOOR_6$jAIQD6Y{UH`AQJ)M^{it{Ua=)6~ zDYG$hsS`O;>?XMT7g_-bKp;590Q93Z5D*k|<{B74v_A*&MFSi_NBA!$USI&`-9MW- z!3*GlAJqR!7UC@c{%sHJ>t_(}IBi>rAL`Bm1i(CC0P?m50;mS=$Gb}a0vNLT%c+C< zlk8^z{a6q5AISZG zwmQH+-+@0!0|B(y{Ws5$Us-_xX#0DRZ~uU%ANXP1|AF5RfV=CDVRzPU37eAG>fkm# zs|gH1*zf;`1ms%+2;g6?4nRM99q|1FcnOQI|Jr_H2~3JnGuA>K+$A z6BG4AE~V-)LJO!E7zMS~NlnFpXf2y1oO`e@x*z%u_|VvDY)Yf_{H2|>HFwPTA4zyw zdHHB+QflBRQ&eE68+Z9+(toE~DuM`rc&n71snyJuj)Op2RNUAsb9nF2`RiCYz(OFr zC!Lf^^CSaU@@K2n3=q+%o#GZOL1$EqHB;_u8|pwH;1xlT*r3}3gXHjfBjE8Mv*LAB zvE|ElqOS+L_U%TLnK9G`f9K_r{@dvRNwtKLvsIzyN*|PUr80IQ$8z+QsXJPWJ!|=P zWK>uUVfT8n&zRo1oC~>Ps*jQ)d+DnxQc_ahMS>U#$-5l{Uy9TYL_tT1QEJwrAwH#& zUd|O3?u(g8j1x^mGqKYQ99_op^WqAJ?*xE!1o#^Nz^X^iC!2jss#|g?t?`)E_H}hN zf86X_1g8*s){swGZ*+V3?pa29o*6d^vq7j=aHe^~-M#b)#YHbw6#1p#RauZ&3Spx{ zgZ)Jxgvt8|2KPiF7uU@4WEaK@N123;OI`x9fMkQ0wf2-3sYJ@b(WFunj!tt!K`|Xo zDhW)*#Lw12*O+91}j&>9DbUo z8t|W&pP~4gH}tm@EnTQ+*&Ny4&Y|^Tvz8~Ro2;z_p_a{Eid3BXLqu)8x}R-s7Z2ud z{gOA#w_+nCa7XGXUlP=2OA0nWJvu@O%x-52 zBSi9mT|3ImFOQs}Jz6=+#!2}KXRVg29!ighiHp^mtWwPw5h$w7#(Liq{5(?PNEy^# z0{#qEo4gP?%>4viMS^YZvr|fuWKh+ki*iey3ci)LJB-hhO+i$QiP`w28P@`e9P;h<^(^%6@ zv1q@Qx^SJSHnJtuB&t&leMZG@{965oUtB>SK$eF3)pP;-J8CV$DgP>o!h zG1wZ@KGRyLHrZ2~JZE*l>WDO@v#_MFq~u6&Daicj@0j0WsOVGJ1v)uy7KdmDp}5$D zfr|*9Bh**D+7$}f5Em}IK212M2Hf!i^WuzYp8V*AC{?g~s*b6}YGqMe!fD=wCkBQ7 zJk?6#ij7;07*_k-%j&mU}&r z3#$H$f`SxQ5@YfYBLfoT2>QPkQi|V&z%Ybn^$%mv28=v z&%yNTp@rgzYr#|4G3)oAVq1we$7mAyliNburSBGd`3#qhXJqOE%jX;kqUvc*KQCsFSzlwj! zHYOFSgTzI~Z;R+xhFftf^O+@^wlV-ES{TXOG(cZ8dYQARL?cxZW+FlGFOR!;o0zGa zt!AUuvC)6IY`S?{{ye_+8hQ_kx`LR7M{7ZC+F?4EHZE^B=rLh`3dtib*z6ndG9$%M zAMokozNr9hMA#GBFY0D4lJNBm!o*}j0-N593NJh{n=ldjGMCmiZOG?xI$f^lfhVz8 zHkR4y~NvJmC-g6TF38FP@J!9ZUZ_W9fV*Ul@ho~$|(WI@kM zm>jb`+t&gLW<&-DZGq&EHf%ej4tF zr606Eg(`O1XXYrYK-~)ZmF@4R2T1uTLY#&CKoQ}b)0C@uoU|4y#odLpXUZEXc7J6%IRSw36{Yu^q~Nukrl<7KkurI|>0p8==**M95|t1MnShKpvU!8Yt&`tgq$O@@ZLs%?<9ndnN->wN$u zbBI>NmYY=c>P!5r+572eOZG{foA>?SS~tCCWj~Frs_Z`>n`I~FGNX%WQ`FSybnFbp z=w>4=6*0S{w)khxBz)6UNsP0jB%F08<6Q85&*2XotANWmhwl%Hp?+P1uicOtg);Qr zuN#GEy(Kz_KKHXEm$NLHBeUOx09(nK=iy9k(I&7cLD~xf#JIIR2)N8IRu6N~2z(xO?`BZgpPP@-P zI?5}JUch1KCm`cBpJAKI|F(tm;qQ`%!{_OoM1i(eOV?Y1PyHXwi@G*EH{VkVn7%#$ z#*gm{e%Z6<=~8$|fZub@>sE+gz3**V|KEPS?x)%E&s{ITke?5Rz}t6@T!79k)nWWo zhr!R3Pj*}n(A7E3Q7$-dF{?$e49gKJRrGNV%U%*Spy`U?_dxlcW$$CVmcfquFkPrf zRtze+i!`H`(r9OaE4ydwt$IMiRmgZupPTXf(fz6YHj&PPwZ4Nr|FUO=zX4r${raiP zOb6CZ_i$7~dec_dcUckRA!Rb*uYUqLF;Hw@PvZ7ybCqPoYt!CkXJ?`WB54t1FzZDmVr8@|l7?WHsugi@ zB&tv;NhGRLA5iziP1nh%$sG9^>Derwit}Sn|Cyh~X}klg09`fOo;pi3%u{E*U}+IQ zUDamRDM`P~`=ksX7W)_eL6GRv3&$S1zlnEayaDGu}{ftamB4(E3c z5JDY*l>&n+Gsn-TMbh`GF&;<2A@CX-?W}W(_}`CUdR1+pZq9EcY+Bu#DU8YpXe5z< zyO|q3FGvih$aMICUzIrv)5}#U$|sWtp_js5*XqAab@q7RQuow74v-N&i!_@=nU*kJ z*=lvPTl=-O4D(g+2M`0DFmw2V8`C!?$sOqv#$ACp9G*oe?%CU7=!j1&e@|;0=PB=DYvXG$t5V-> z-^Hw7S!AH>Heb{0y5Dq3A0M&FSC+HCAuZSa&Jv+y_XDi2(X?;w<@CVl5J;CB#!VJL z^DS^LXN|(ze^#jj$skG@X^7afJM93g@iLvmIvufyG01d))vwSFNS+0hDKXlM*5U4- z9^>1?=V_%t`eIgkN;s~tnc{8QP;s?i&6~2%>#L~lz{-F?X=a-yG9{rc1Ifvp78 zxi%63MJNUY6olH41SDEJdR-GLN>(ctCYnTyER0NCo+#XgYz*l`L#iyhynD(4sp`_4 zw>laS-{~Q&n_KdywP6V-`RNSui>PRu!Rtl^kpd$(-idk)%2S~q-G@Lpumh2>F+s4J z1d7kA+hd_ut%4#jED=OtM+%(i5tKzLJyDGlPUAT7_l{*R=3_=mp z6tlU?PiJv|nsVE2emT*SHEP3P#Y)+)iTLvfDN=8<#o6Mw?CFUbhr+nbJQK%4a881!TtV z2PG%yozb&+6}u1EXX}U=Hu$fn4}GR_%&<~7>+T(YkmU@Zs2J*Iym04pY*Visw%v4B zyaWBb&dSk2FTxvY?D9Lh%j$GnmH%`3c{zpfXwD9Ptw!9wysbsTqqAC`bpQopN+1Kc z4hCZd#v;XU^D0uiPpUq{Ga5w|^LY_rwT8l7-$Wa(4|3IOBJ!oi*ZvO?g_$e|dgJkY zu|DC~scGtTSRa^Dndj-ICo@6pwewU1B06-G(v~)U*wf+8U=|S3END7{Y~V=rs*-EL zw`{+H5#5WEv~cbKo|lW-Ji4qxOoR$aFh1vfPS{9<@#v)DeqfI7UT5X)O?(K2e3$%- zJiEHSyY0iSe*6u-yuQDWBg6=>k|1ahDhe>ElcNOjOAhgX{$u}C(NFxXmS)C7BT_3U zfM(Y5BdTlCqt?nhw`-KI#C1~_RpuM)J9|_g2xo=&0A`pJ`&m>xEHqh_CGeAN;$1{= zN0^jo6r79IjjSoBRVMUG`}C3mf_yM9Fbndu6YDRoElIi1S)AR8{$U?XnV%W-Jde&h zJqMotL!S~8CCqKksanwEHo_WLwE}w>#oLLoF7Cd&-Cy3sPCV9B<@X>yGD{brHgQ$E z{i+{9E_xX_SMinn=!m&KMzc#U;E(CN+WBA9YSzZG>gR`A%N&l}&Gbr`k;sZ#HU3kT z%1gwinQDK6lO^8VEn=l2wnwyusxlMOZf#9f1ARDIVLjUKa&p!s15SL9>_wr0qD2n( zK|DL^NqB#Z+&d#2%%Le!%ljPzlLOx{6bgZF>41UHmqFM|j)0!x46PqNF{hTqd8w8t zjCj6KNWrDf%AFh8JJo->c$rfDM_bleHoQi&^T!GxjU0IdUk6Z^fpZbeXw0V~roJD0 z-Wq%y+|Tg;yuuCMMG{p2QwJ_0i6p)SI3M=z6?rzS1Y{x{jb$^RcsFC^FIFyWK#ca0 z@cDad?>l#_nW<(vtEg}7QZ54tXie=8{DS5vXcTVxx8N9@%L z)rvpUNrq&Q7mOtDx9=(D=rR>ztx!p4W_LQ>i#Z;Bj;Q@QVC>oO^rw%PG2p+IEn3_k zXNROB+Tw*JG{$-7f=QBvxYV;R%2+4)yE>IS-svY9u$a(iTU;!ur=z9y`(!@9tO4hG9N#wwyy2(N@#~k! zrycwY|EN~bMz(_WPH@QZgrS_hKN~$v3RlU=SG!eKp7M>GB|gKiDl&qX4_H}}goELS z80I8Rf@%nRO-=uAzLm728-schJ?g%=i&hC2_w_Y1W&<93m?R`>K7vonXuqzinx#|V zKZpT}_;o%4jkE}As#$s0Gk4Sn*wZ;8m|Bv@>2}zDP5eGwEh&DM`C{cHeo}tEAhu`t zQrPP^%Ya?GI>IuD!FHKlYistJ(MY^q^gcQW0hz09;Hh_LL&$Gn*uLj_bwPZN1_vPt)E&Np3yF;UPA53Ywh4E^HxQ%91%=bJCIoDf0;zYy1DuKRD*)eA7o3E!g#*1iC z6>K4`?qe86HsJ%WV zUs^r|2$Fs`M?)-PqFudd(krLKbdJ2Y#N(~>Yiv|3w0|km#*dKOUUzIq72h}5QHAFe z_O^Xr)9=<{So(S0`m#(EnvD7skj>Kjdr%mQOjno>dyj6J{B^6ezlZJ)i;aCJssp0~ z9mh19P8LoHh~0#-)EkG+$`4D!q(x(Os?GX|3N#KUQ#De_B|+g#!C~rba7LSB@@&&x zn|rPA-q6LE_$(~f%EvtqIM`{`Kc22iQ0iCM8+`Iw3XlBOXNGB`+BF2tN zg2EJI^D026CwMrQSeK4PKW}mh4`S9Sc>uPrzhZf66 zSGUB8^c!_UxMqVpvz+oet>vIC%9sT`G)srPZ0a&Hw2wZ9^ZupnrTn-_JIyv@Iq1G9 z@?7Lrcf{-L8sYl3+Y8V@UnHO#g#C-mga{bxdT+T7GWn;r9x#}ipw5dgtc)m{dpNiJ zwu#~bW*6x{E2%VaJh1&DUvz0|V`dXt{bNAk=jap@qpjP3k4}aj7zu{l>EMD9zn;rq z2xCdm$Q2e~?h?@G#{iVq>TzeQ=n5Su4?2Gz5du06GEWq^UEduNXe9=yo8I&}{B@JT z)v5jO9=Ps9D35pNzp4=j5U{9LqU|)HTq53tQ2-!t6z~-k@=osGk$%R&Vss6E1~Ryj z8K4@;RTF+z7LW76G*E!x!{un2NUkEcPFhMu3IYF?G|INAH2`MDk;C_)C(_54^eMPV zrC0CGVKHMh@;hIImSJ?8o|T?aTI}_jQEMm-7#!|*YXNHU)90T)?*0_A&_}bL45MkB z8sita)ZE^11D!H6gP9a9-H;sPWyy!Uwqd+~aIQ(xjg-ylER(>R(w8=bj#m)hX57vG?`R2|(H&2%4nab3=#xXvoa z{3r`ADnFT1_J>Gi^p2g2?(-UJ?cQjSR8ti-9~IEdT&_%UY^N%s`bZWX-{0l}E#- zRCd;<1QY#qWa;ai)jfim3$J%C!~RxuCIx(sODf|AC`NH6vysU`BgZofAWLGG_p$GS ztBH!1yc3|6U#fc}W8_iiMZ!*ev~5OW$94i977t6Hl5EI!R`0JB=T=vT!SlptH2&pQ zK*TuD33Cp4A3N~z zGg=W8-VTkO>(7aJyX7orcpmjN>;|$am^aWCNp&JoEYPqmz;Ks9UWNVZ2*tE5GZI=KqldNC@L|L~kLr>31=!Bj$pS6yAT>(1r$ zJxaRiuQd+!y5$$QWCQ;=)MuxL+l;Os&fhM6?uX~OK7%Kl`yNh(0y zn`R}#G~rnCxhg(Ow3wi~!$p+3+aDz0Um!(;bj6z)=FFKV>k+(gW%nfw%o>2+WJ@_(QG(n; ztq|zpEplERx4PYRqDzg?r?`OCHjCi6A6lxuZvpssUr-SaHp4>E-SRQxpgP-aU9JuFlK_sR&M)#bgt6M zM9c8eNJKelUW6TTMqHc@E`mbDXY|vWeUe zP3G^Gjgu1N2Sl+pTQhVB^|^DDSqBEQN=Lu=?v=jNmx){5O!sVptRON@LPXqye$!@>?wE1patd$qaDpQ2uE8o7TPAI zT#;S>CJgS(0r2-9fqR{gMLnJes3#nVEtBAd6cgQ{Kj=mSlPTO9`#o|#8umOn6)gkb zZKSz8*df-~^SA#*j5s)XI~n(tE;QUH^2s@U^}R1X*~T1llaN)b>;?}gL-b{Pvap0O zu9vwM{5Iu>zPR|?A{8?LUpQmn5i+dk8}p%6m8II2`DNN+$AAkSw%C^`M|T0<=66Ac#)~ivJH%~go25*oTa`b%wD^B1 zj}3?a)!v=Q)%5)f1D9kd5t=ko2Yi(Wmg($I0c z^|#i2dlIe?rP-4-6aFLXvaq5(hjp>DLk&Fo+8;X&J~E6XzCXA2 z^9``?{jQP}ANIb49?!YR)JjGxSa8YV$9xu2Hj)Q5i}cOI9D=+%mxNg;tvD{ETe_h5 z_@YsvH4(Kw#}+o#8tjff@hF(7S$jd48X~9vRcA-WS z5692FtfmTOrGeb+J@>e!J}xYY2ow{hX_Yp&pvohDRg0c|H$!n&+O*rR~$)YOfct!^z-(= zr}e&!weme@&%fBB-Cy^iU-mRZVvqA_Q2`tO#ZjU*3!-$_7@hjrvD9Um)wI}`=4kB! zDT&OD==*E-xVCGeZ0i1?+_L0+mu@H5$H;|G@9pFry&tQ|SMfS&t*|+uNB)@xJU!r= z-scUzt%PII$IkA1H|7Ks8g`i9tj@_Zd@sH?T_%Trlt^>5)VQb~nmBm1BFoJFsr^vW zlOBm9iAhPf*Yt*p7TP~O@91_rX|8$o`|Mtco#E+Ut@zDUmOiiel;fLbJ3sw&s@(JI zsli8E)~$H+dKs?gdg4ve>$`Nuxg_~p(Q)&4+rK)l|2Cw?-tkthA#vSj`^`6Xw|1#6 z)_bjg+_vdz)E)G_UB|VD4!bJ5mN~38A9r4TF2RP+CS`-+4{zH!d28;8t<*Xbzi>zW zvLxeb#}tJ_CS9JkW!3B5&-9i?`8|)T=r`HKo7^y(9_My4xo$qk%GK(_56q8hCv|@| zS~8u>@#4Bhky_&iPL63iHfkB_ygSU&72SsHU+dqzA?|wYPuV%M<}SHq5j+Om6`)fNk zsR&upXI-+fXjvXTlZVUPuX})XKqW5L5bH9pfDdTSO#hr&(rTAV( zQ{}LGR$oDwzFd-L!{(!F`9lQ{a_x&lZ=_{(_~{H-=T4WQwJeq`afnzU!m&FpvVL7u z#5PtG`S-KryuzEf3#JdoZ0>wr@qIKS+ot%;m-x{exSU+g_IVm>4&5wkE@yT&TfeKR zNmE$&I8E`Y-+7nt+sv+Gm5Arthd(x0ZK-|xDm`9wD8F4TjQM`${tRa2w(h>KZ$94D zHJzE3X1aFmk(p+3ML$O>I^#Oy>};$&OSfh&*|$|=ua3sclqFt0m08cDGwY)jUqo*o zu}S`(v;U`Qf_n1_TiW6ei`(bwg+929&YoF2Euz!ClhubH zI_HG2tXo^TH*^gvnj7kKI+_@(TuIj7sL^|(?BU^a0`F%g%I2*$+^t<$SafSyM)a-g z)dpsp17Dxb^3eM7Iwsw7AHDtJPV!H)TvgjX!lyPbOy^5WLh`Y_tFF#_k=(c}b6tkT z3-2RYW_^acvqtKhw;8^!LQiPV)Qk@7KCIp~Pc3<`q)(Jd<#O^r4Y@Dw?ocUwUU~DA zlK_`=^Ip%Z6R9q8YQA^h+j9-Lj^<1G78YLDKUv|TpT~LU^4-dwYf0KB(T@#IIi0$2 zb?E!W*toZAQ~KUt^Jr>rX~yq0T*Jh(ZognuAD8{%;jm1tW7&_c)Vn?gZ#uCXvgl{?4pOL?0YCgG;C#lq6UIOWE!?&qrC55KrppKWPX`+b4` z<_m}v%fvOL{y{xYmSnMHadU%?+pSK&&ZW2e+&8Y@l2*+B@cQMA<~%Gv<<@~Pg^;QX zuL1+=C0H{3)BHX6b&i(KP^e3}wJLx$*w^l~yy9S>L~W_p)ful^Z9BKPYY8#tzF#vb zD^@m;ud#Yj5X1At+AOXWo=ZN4PA^y>5#}U?dh)+4-4`)qO}J{Rl=?GG?jWx>#ukUF zuW;FAlx(XvlM4Ac^J?(AvmJ9eWX!`~4TSA$V~q)o$=F`j;fa?H`0UYH`E0hhL)m)f zykI`jhp%_3@8=FSM)=R8& zlNL+So9JiqZ-C#JmZ=eDF*zgXXra3F!e*WL7Mby?PD>fi810Y8u<#wxnG(g0;e7j- zu#6x0FAQ~IB%Jbz_d@6=^?bS4jB&gg{l7Bezp^=4D@Q3z0 zJ6q=D7`;n(JI109U8w4<(odC{SL5*M%ni&C38-4b~-MUeHwG=q(+Qai?!>DZBH`0UfofzeHvasU;n=1&Y~8_{`+;0 zMed!O|M^|({Gq4A8ePGUCg)wB;C>+G7pB>^UQ-}QCSIU+&ME1`2oc%Mwfu7vVC)=JqVLLZ1H0rn+u5UMs(u z*GK$o1`G$~$LIb0p;pATq)bj&tk;s8e#&#>k)h(KjEell!tSngZwjPk`thd2 zDG{eW#ZQ(NGos|4$c~+kto-@>K-b|VPp_$u7kw-n*e%hwJKW{U`hkbpY9{WVcRfF{ zKA{V-898`rb;K1v{{YA9J?5VZkG$I2pr6;bZc*NbZL3TS2Ob~QJ@VnaI$q6Gv$%Y$68nbp@F!7w=G7Ky(x6aiZVPI_v310(RzRq&l6Ik4(pwyIqe{NN+kF`>K#7{xo}j zmE+Co06UA`gX2Ws!$(I~zJGpXet2t2qj~J6lb7zBat~@>`7%D7J!kGWZ}0O(1LK)> zM}tniJ1)0|t`MsEx->iXM0-7#pTpV973KT4jwgOTsUX43k!BdLpS>toQ9bYRYWeie zCa1X@&EvYG6<@6kG(BOmti3N&F~4QUiJYSE+FnO4a5=p>=e2v?qTTCn*V8oL6rw)6 zYvY{uEZTm!K7IR6ettn6-)TP|&AiQ-7|!LHrL>HNE1s#BEYv-Ft!KH5iNKBsv8Cp= zcWfGVzT3BVpSE3)lXXR{>h&l0Tz)hR

  • FH`&rLVEMBqyZ=XRVDT!q+z)$?%wjQHvAxFb<5_f2?)ANX{ z5q%dex@1phjidJqZ;_w+jpqiAj7)P7%Q>T{fXNDV%5`=L)+kp+uY7#SG)dL?u^i8- zoRv{es^#n#?PaZP{uc5=%fQemFT>TffJ13OEN5A=QkDFg>Zj|T-qSWcao%Irj(_o;yYit8zMJ2sChWbF4$7c8E>)pGK**K*z6EyX{QZ%5>h_4|2gYd6;(*L73j^b&W@O*1$Zt^ezR&AeRq>xsK5uK; zGrowA=R-%ysTAj7>yZ7VI`45g zWzW6539|&HE=&|Ewf4;L(BcWz{jO|SDmb%GIB-_zXq0-*F3wOlEBCnu+#NqQ@XPYa zsbF!T{_Vba+@ZZ5#WQ(w{dCp{U3$JtJnrEo#~U2n4}%+8g&p|hu%@bJZyymc9;Gq{ z#+($b;T^*-Z+C%Hefj)^l5Dz>XvZ2Zwty;zJ9sUBTA6iaW?`4nwg+4^m^T)Do@ zN!3V=@Ny~cl-k7IH}ZbYt+g&pL~?fzb=Djl7M@%`GZr1&$}MW&>>RgD!&OjieeQj) zhuI@4@khNk^m?zXS9uqr9JVyAPH6DQ^y4Qg8Is?M*GE3@oXt{?PJ7#T=9OAt|Aq}t z+YTD+TH|3f^ujx8Ah0;dnWDdVw*RxWj!i;M z4;+q5ZhANHHF00JYn5D^{E8}TeQny|bqO3Rgtc7tOUe%}yj7qr6@2W4imIw}PouS? z=8CPpsqglDnqL=Ol>cScZQXLy<)b`V!dr{hY-u{#^U>geriMtWPM+D)yKa2NqA@e; zI-Yr7d*;1+wMQ83yOQy<0iUSTbfKRd(P#C0B~?CdoBlIl!|d|WD4JVw+!qdc9=U@H zBQ|gbq(vYq8?zRBjdNVu^K7yFDTkQ$S4g3~0msZeO`kjpcW)=64AeN+4_r3%cw#yr zI(U4IZi4mNo&ilW`zDjpuI<%LJFzlTaw6o=iziXj3-|AM$t`$5dcM<~6+gXGxKvYL zycd-i{4SPtr_M`9JKXL_|Cnju_nF!g4^DJ$OucZrGSly;myAi!sy>c#NWb;{#08^Q zGN%1-_LZ5~Zq6Mk^j=iYh_gWN;HZPKa;~w})@@~;MUG->3JZ(ZZJjNZGLzNxO7c{% zW*`5|+wII6=`NeR)y1aA3i+K^H90w5Je2wMra+xa4Bv2@L9fR9D<;Y|0cr~B97YrT zzVkb-e5scg)=6JvH?Q`QYJfGk@n|bX3Y@A?^$e(U09~IZV$HV zlFO6RT$)$?;sm_&w?)>_PTjT|m4Q~}M9q1wWwn`xk@k^ihQ1~#t^6X@=|QVrE*R$Gm65Y>B|KCOC^ez9Es{M`j&v+~3aDdnUjn)u$7_a$Fr$Q!WU zAGDWnn>sxPZsr40sd z&9`gtbNC)#%v1@Axa-cF`31>L?^!GI!i>o5(wd)m2Cr%Fw7omJkuLgDb@WE@fUcU- zhpuF6<3_*5o5RM-nerJY6Fu&n9!cf3B=XVvoele#0SCiPKiJn^F!ZM-|FpilwI-dT zckT07z6QqVx}?uZ_X?YisFbYVTDdzwBvY+2KK*FIS@q0IEDgua+8@1n=-(cD6Dgeq z%@aexuE%yX4ewoDT@y8uCf;6`xUTQetJh`H;!i%szWcPv>m`qTuzmE?qBf@RQ~n9r zeH|tria1tyy(Om<fRWclG@r0BN}Tz*DaYYZU22z zPuYKgi$dc4xdla>fg%bslH3=EGdlLE7EcOUtCWxZ7jymi-*f$Dk~A|(f=1gZDM?4j zA2EXbai`?3Pf>`!^O8?dHv7BVDI3{&TiIH9TPbhyvG!&sm>RA7`(X-7V=;bxAfT$@ z=Wb=Q$Ie^Q+HSX_tD5w{-TTs#j<#yj+i)YAk-N5?gX5Y2PrFS4>o?m3?6G0lN^7XA z`lR+RevXO&&Do2TSIiIOORtFjCKX8~1Z_Ktt4$X~(z zk^)xE*5;px-F-Zr|A^PtM%m8U&c)8v+l#Cn{g2w&+Nju&56isWJk|ebv`uy%fBxX? zsJ@BJ>F6wJ=;LDLY2~UQsp)KM=lW-b$lw0?!yoyTf8EOeu)^eF{-?&jwWXhxJ6T)W zAGIYjsc8RM-OX-p&i}3EoLWZpp9DJ{@(G|iLL-kq4OK*#o9Rpy8cPMoR?_GyG@7dN zl$?K*G;*_bwD8;<|MV!56)-aTKR1hu%ik?yWTc|wX5+&?zH4>VeS92kRTwyi z=rMG)6*ZX*ToKdJ#ub^mG@7C|s;R9>V`wt9nAjg-{$7?HD4DX>)yvz;)yD3BvbA5z z0>MlEFZ|>FU)YD_zjyL4wer84>)*}wFSWqGl=wHbnMq5kzYLCG^^l@4@c$D zkGr1AM%Z!dm$%DKl$Ue$cf1+7o@T0a7u zOG3jV+6wZXSuIN_6%9Y`E2xsG=q+L1T`%;S`WJ5StE;r95 z#f%lz=VIlyq<(7JAdDx`0`^$he+yPj@Xx-HXWW)tQJOy*zOBnz-%4MxzpO93(IR6* zqn*>RobJ` zOucq2s$zNkfd&uRid}PRD`rGhE?xI2p;+()P0_Pn`(R{EAAMNySiY9 zbWQoP0^wL|3Bg_Jf>u&Wl^dNa@=TAtH;q{7!If39#qT^CQ5re6BERkS7+277%eL4t z-k@U|?Y@4CgG&a6xbr)VK9*b`TVzot>L(stX*sxiT)?f(t?5W`yUWMYyMD7KOZJUv zOoV?OS~4y=Sr+W#-e#C*bC>tg?$gM^#Sfw?bfsnDVyb4_FGUV6E{_z^TagrD@;KG% zG~y(TM~Ue1k{`=bt)A1SevEVCy*MM$FXlw56~FR#A(yBLT~Qgrq^R2x!`UC(b;+W2 z_fs8i(f=+QykOw4`z^Wwxt|GLuSA==oL@J5!=!2Xw*Soh*KUsr{funaolNwryT9CG zMENHFmOnn;sJriAGed#>TS9L#@fi6rn4fqh+$d64@b^a9#n`{FVb{+j%2QXA5=&bD zv%jjI6kJzPWq6CO#F6;dp1-PirVlSFKWwc-PZTzZTCT@0b9BM)AN|FQO%L~q@Rx5+ zTE0K#!E8%g+N%X=k^EpPNGB7%oY@{uaH`K7UrmZE@SQ!<%hs z)*_2*UZ44qb8%n)Snr0&%UoZyL~rS+?MM>%QmNh~`oqdnEG)k}G|NF}-!1J~*yTkk zi99MvuaYdfBVL)(nfSOMk?*aj@z7XY<*U!wr7yMGLvZ2LTP;ZHnijA zd}4>lZ~0hXF^4H3*QR4062lbGri+!ii|FlA(6iyME$Lw{vT+xzuo08Bo-S*%(B4}9 z+AfuARx@iWzAx$>(A0Z?etrCm%HJEz_skOXN9g?u1w@;HQ1|Kb~7$t`@k8SD3}Er z=jUl>&&y3Cfnt&W`|BS;lO%8)#UhEmJo zEXwgEs#pd;Jg^flX~ca%+@!M#z=jQ|p4zG0HJVm=uG<5GzP(9PCXth6k$wlXBJ9O+LXnjqf@p=N9ZhcN*mBo z20}^0SSXN2Og&%dIEK-u982~z_|(`?I*o=;sWVE)iK%g*bb^LW-5x?i@hR6diqNpB z=M|Yo_BMY%=42W{Olbo$je$)$9%LGeQWq2>7*pDceL@j*>ivp9fHV|H!+1anMO;sCA*nLEgxLd_WxJJ&dwF zIuHkGJT)H>2YDZ&<^$rO196ajA8J`34$=xrKGI8S8W0D0%~10JaUeh(2oMJX#6kAM zsQUooK!7+%%P3`0AP&-QYCa$i6o`ZDA5hBzaiBmPq>a?FKpdoHlze0#lbQy^K^jHP z2gE`4L8$qFI4~d%vOh#E3&eo~ao|84I1mR8#6k8&Df`8NILJN&H6IWM4#YuDb5Y6y zV+}gsIUVqvP5^NbKpX@R2RRO))&+=z9OF>)0dWAH(*e)vfai3;b2k zaR8pv89*FN;Jlh@JV`el@SF~K&K_$}+7IxY4tP#y0&xJI(*e)vfai3;b2{KT*&(Oa znFYiFcuogArvskT0nf>`Ae8+gfaeH}dYzLi2!OKGILKZ)kdJzOkiB#uAN6>!$9_|f zFWGaarU9NKfaeI{IYQ10Q1$_Mj?jTP0M8M?bA%4W0eFr8o+IS=oKio)bA%j+Qu6`F z1Mr-DEgUEd91jGD1MnOHJVyY}5x{eVe3hLN2jDpZc#fbz9DwKS@h^2Bz0M8M?bM_o9<+uT!BY@`! z;5h<#jsTt`faeI{IRbc&0-mFQ=P2MgN~0bR6!07c#^)&DIZC5mA1L5CIekcJXL9-w zNTVJP6!4s!2nNbh@4Mu5B#@7KeV~BnDBw8?cur0;QuhIPjsl*efafSMK1Tu1QNVL@ z5*erq^}dS&o}+;0DBw8?c#Z;|qk!iq;5iC-jsl*u=dPz-t0*}aPDukiM*+`Kz;hJv z90fc_0nf>qWuPv=@c=wW0nbsua}@9#1w2Oq&)Lt4C~XCJjsl*u=jy3tf#U&qjsl*e zfafUSIr#vDx?jL^a>Y524>%rx=P2Mg3V4nJo}+;0#Hfz;hJv90kVbDBw8? zc#Z;|qk!iq;5qyGD&?31<8u`790fc_0nbsua}@9#1w2Oq&r!g06!07cJVyb~QNVK) z@Eip^M*+`Kz;g`n90NSZ0M9YNa}4kt13bq7&oRJr4Dg(MLPI$>*v|~7o-Y_MKF0vh zF~D;S7@uQ+=NK?P#{kbUz;g`n90NSZ0M9YNbM|v$N*e&4V}R!v;5oUB57-{{zKa2# zv!BOP>H>I<0iI)k=NRBQ26&DEo@0RL7~nYuc#Z*{lMkq=u>qcAfae(CIR9RuJ>WS8c#Z*{V}R!v z;5i12&&h}RKwW_A1MnOJJjVdf*=s~7*Ad`326&DEo@0RL7~nYuc#Z*{V}R!v;5i0( zjsc#t*I-cM1w6+9&oRJr4DcKSJjVdf*=w07bpbplSGEB8fH(lpF~D;S@Eij?#{kc9 zz;hh%90xqd0nc&3a~$v-2Rz3C&vC$W9Pk_mJjVggalmu-I=rdJjlGVCnnvxnjsu?KfamP>aFn_Lp5uV$IN&)Bc#Z>}?p5uV$IN&*ZjUgpAz;hh% zoV{X`T9!JF!U5081(`ruYCjPNJZG=br0f^)90xonSE~VKfj9uqalmsN@Eiv`#{tjD z1*p`z0G^XeV}X1?9DwII;5iO>jsu?Kfaf^iISzP^1D@l6=Q!Xw4tS0Oo|B6QsqF%c z&vC$W9Pk_mJjVggalmsN@SJ?7hFU+sa~$v-2Rz3C&vC$W9Pk_mJjVggalmsN@Eiv` z#{tiAz;hh%90xqd0nc&3bN0Gn%KZQspW}e%IN&)Bc#Z>}>OKmjS5x0M7})a{}<3 z06ZrE&k4YD0vMkYfae6@IRT8%3BYp#@SFfVCjie0z;gl^pA&%R1mHRQ{Q$}_0z4-G z&k4YD0`Q!Cv4gq~V0=#21IS11UlD-k1mHOVcuoMG6M*Lg;5h+!P5_=0fae6@IRSW1 z0G_kg^HYuy;5h+!P5_>>-_4+I54i6Fp0nRypq2%$bHH-~@SFfVCjie0z;godoB%v0 z0MFU)_fX;hJSPCp3BYp#@SOeb2xT9D=LFz60eDWn_ylYZhy(DPe5nQ~OFgd`fam1* zEm6ucfbls4@SFjR&l!N{48U^+;5qw!u&Hgz06b>^o-+W?$rs0{+XFmj0G=}d&)ItZ zRzK2J%KL9*8oPh+o3-S_00!Boo{~nsZZ+k7EAn9gjjZ34eB@*2DenuBlZi}9J~EB8 z=l8OtGUWOETN*hb!yuiWl145aoU&hXl7{{4H*^k3k*_Y8u&({VgBaiDi=ap{Z#svQP6*nzyHwqqChS zFE{zUXn%d>0PFwx_YC~`MFLiy-haMEO3=vVS{N_)vSqr)dc53!zgtS<<^KOtJLL_Y jc6-UMlVev(nf+Z1o4j4v-!4bC+tiBF8RS6guZsT%J!{=} literal 0 HcmV?d00001 diff --git a/system_template_de1_soc/dsditer_dual_hw.tcl b/system_template_de1_soc/dsditer_dual_hw.tcl new file mode 100644 index 0000000..b48d6a7 --- /dev/null +++ b/system_template_de1_soc/dsditer_dual_hw.tcl @@ -0,0 +1,75 @@ +# TCL File Generated by Component Editor 20.1 +# Tue Mar 22 14:51:10 GMT 2022 +# DO NOT MODIFY + + +# +# dsditer_dual "Full Function Single Iteration (Dual Parallel)" v20.1 +# 2022.03.22.14:51:10 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module dsditer_dual +# +set_module_property DESCRIPTION "" +set_module_property NAME dsditer_dual +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Full Function Single Iteration (Dual Parallel)" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL dualfunction +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file dualfunction.v VERILOG PATH dualfunction.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point dsditer_dual_slave +# +add_interface dsditer_dual_slave nios_custom_instruction end +set_interface_property dsditer_dual_slave clockCycle 0 +set_interface_property dsditer_dual_slave operands 2 +set_interface_property dsditer_dual_slave ENABLED true +set_interface_property dsditer_dual_slave EXPORT_OF "" +set_interface_property dsditer_dual_slave PORT_NAME_MAP "" +set_interface_property dsditer_dual_slave CMSIS_SVD_VARIABLES "" +set_interface_property dsditer_dual_slave SVD_ADDRESS_GROUP "" + +add_interface_port dsditer_dual_slave en clk_en Input 1 +add_interface_port dsditer_dual_slave start start Input 1 +add_interface_port dsditer_dual_slave dataa dataa Input 32 +add_interface_port dsditer_dual_slave datab datab Input 32 +add_interface_port dsditer_dual_slave done done Output 1 +add_interface_port dsditer_dual_slave result result Output 32 +add_interface_port dsditer_dual_slave clk clk Input 1 +add_interface_port dsditer_dual_slave reset reset Input 1 + diff --git a/system_template_de1_soc/dsditer_hw.tcl b/system_template_de1_soc/dsditer_hw.tcl new file mode 100644 index 0000000..04b8593 --- /dev/null +++ b/system_template_de1_soc/dsditer_hw.tcl @@ -0,0 +1,74 @@ +# TCL File Generated by Component Editor 20.1 +# Tue Mar 22 12:48:13 GMT 2022 +# DO NOT MODIFY + + +# +# dsditer "Full Function Single Iteration" v20.1 +# 2022.03.22.12:48:13 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module dsditer +# +set_module_property DESCRIPTION "" +set_module_property NAME dsditer +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Full Function Single Iteration" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fullfunction +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fullfunction.v VERILOG PATH fullfunction.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fullfunction_slave +# +add_interface fullfunction_slave nios_custom_instruction end +set_interface_property fullfunction_slave clockCycle 0 +set_interface_property fullfunction_slave operands 1 +set_interface_property fullfunction_slave ENABLED true +set_interface_property fullfunction_slave EXPORT_OF "" +set_interface_property fullfunction_slave PORT_NAME_MAP "" +set_interface_property fullfunction_slave CMSIS_SVD_VARIABLES "" +set_interface_property fullfunction_slave SVD_ADDRESS_GROUP "" + +add_interface_port fullfunction_slave en clk_en Input 1 +add_interface_port fullfunction_slave start start Input 1 +add_interface_port fullfunction_slave dataa dataa Input 32 +add_interface_port fullfunction_slave done done Output 1 +add_interface_port fullfunction_slave result result Output 32 +add_interface_port fullfunction_slave clk clk Input 1 +add_interface_port fullfunction_slave reset reset Input 1 + diff --git a/system_template_de1_soc/dsditer_t_dual_hw.tcl b/system_template_de1_soc/dsditer_t_dual_hw.tcl new file mode 100644 index 0000000..509a34a --- /dev/null +++ b/system_template_de1_soc/dsditer_t_dual_hw.tcl @@ -0,0 +1,75 @@ +# TCL File Generated by Component Editor 20.1 +# Sun Mar 27 15:20:46 BST 2022 +# DO NOT MODIFY + + +# +# dsditer_t_dual "Full Function Single Iteration (Dual Combinatorial CORDIC)" v20.1 +# 2022.03.27.15:20:46 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module dsditer_t_dual +# +set_module_property DESCRIPTION "" +set_module_property NAME dsditer_t_dual +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Full Function Single Iteration (Dual Combinatorial CORDIC)" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL dualfunction_t +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file dualfunction_t.v VERILOG PATH dualfunction_t.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point dsditer_t_dual_slave +# +add_interface dsditer_t_dual_slave nios_custom_instruction end +set_interface_property dsditer_t_dual_slave clockCycle 0 +set_interface_property dsditer_t_dual_slave operands 2 +set_interface_property dsditer_t_dual_slave ENABLED true +set_interface_property dsditer_t_dual_slave EXPORT_OF "" +set_interface_property dsditer_t_dual_slave PORT_NAME_MAP "" +set_interface_property dsditer_t_dual_slave CMSIS_SVD_VARIABLES "" +set_interface_property dsditer_t_dual_slave SVD_ADDRESS_GROUP "" + +add_interface_port dsditer_t_dual_slave en clk_en Input 1 +add_interface_port dsditer_t_dual_slave start start Input 1 +add_interface_port dsditer_t_dual_slave dataa dataa Input 32 +add_interface_port dsditer_t_dual_slave datab datab Input 32 +add_interface_port dsditer_t_dual_slave done done Output 1 +add_interface_port dsditer_t_dual_slave result result Output 32 +add_interface_port dsditer_t_dual_slave clk clk Input 1 +add_interface_port dsditer_t_dual_slave reset reset Input 1 + diff --git a/system_template_de1_soc/dsditer_t_hw.tcl b/system_template_de1_soc/dsditer_t_hw.tcl new file mode 100644 index 0000000..d34930d --- /dev/null +++ b/system_template_de1_soc/dsditer_t_hw.tcl @@ -0,0 +1,74 @@ +# TCL File Generated by Component Editor 20.1 +# Sun Mar 27 14:51:30 BST 2022 +# DO NOT MODIFY + + +# +# dsditer_t "Full Function Single Iteration (Combinatorial CORDIC)" v20.1 +# 2022.03.27.14:51:30 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module dsditer_t +# +set_module_property DESCRIPTION "" +set_module_property NAME dsditer_t +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Full Function Single Iteration (Combinatorial CORDIC)" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fullfunction_t +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fullfunction_t.v VERILOG PATH fullfunction_t.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point dsditer_t_slave +# +add_interface dsditer_t_slave nios_custom_instruction end +set_interface_property dsditer_t_slave clockCycle 0 +set_interface_property dsditer_t_slave operands 1 +set_interface_property dsditer_t_slave ENABLED true +set_interface_property dsditer_t_slave EXPORT_OF "" +set_interface_property dsditer_t_slave PORT_NAME_MAP "" +set_interface_property dsditer_t_slave CMSIS_SVD_VARIABLES "" +set_interface_property dsditer_t_slave SVD_ADDRESS_GROUP "" + +add_interface_port dsditer_t_slave en clk_en Input 1 +add_interface_port dsditer_t_slave start start Input 1 +add_interface_port dsditer_t_slave dataa dataa Input 32 +add_interface_port dsditer_t_slave done done Output 1 +add_interface_port dsditer_t_slave result result Output 32 +add_interface_port dsditer_t_slave clk clk Input 1 +add_interface_port dsditer_t_slave reset reset Input 1 + diff --git a/system_template_de1_soc/dualfunction.bdf b/system_template_de1_soc/dualfunction.bdf new file mode 100644 index 0000000..e4460a6 --- /dev/null +++ b/system_template_de1_soc/dualfunction.bdf @@ -0,0 +1,783 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 16 48 184 64) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 64 184 80) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "reset" (rect 5 0 29 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 80 184 96) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "en" (rect 5 0 17 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 96 184 112) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "dataa[31..0]" (rect 5 0 62 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 224 184 240) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "datab[31..0]" (rect 5 0 62 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 112 184 128) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "start" (rect 5 0 28 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 648 120 824 136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "done" (rect 90 0 113 17)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 648 88 824 104) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result[31..0]" (rect 90 0 149 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 224 24 424 152) + (text "fullfunction" (rect 5 0 71 19)(font "Intel Clear" (font_size 8))) + (text "inst" (rect 8 107 24 124)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 16 19)(font "Intel Clear" (font_size 8))) + (text "clk" (rect 21 27 37 46)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "reset" (rect 21 43 50 62)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "en" (rect 0 0 14 19)(font "Intel Clear" (font_size 8))) + (text "en" (rect 21 59 35 78)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8))) + (text "dataa[31..0]" (rect 21 75 94 94)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 0 96) + (input) + (text "start" (rect 0 0 27 19)(font "Intel Clear" (font_size 8))) + (text "start" (rect 21 91 48 110)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 200 32) + (output) + (text "result[31..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8))) + (text "result[31..0]" (rect 108 27 179 46)(font "Intel Clear" (font_size 8))) + (line (pt 200 32)(pt 184 32)(line_width 3)) + ) + (port + (pt 200 48) + (output) + (text "done" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "done" (rect 150 43 179 62)(font "Intel Clear" (font_size 8))) + (line (pt 200 48)(pt 184 48)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(symbol + (rect 224 152 424 280) + (text "fullfunction" (rect 5 0 71 19)(font "Intel Clear" (font_size 8))) + (text "inst1" (rect 8 107 30 124)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 16 19)(font "Intel Clear" (font_size 8))) + (text "clk" (rect 21 27 37 46)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "reset" (rect 21 43 50 62)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "en" (rect 0 0 14 19)(font "Intel Clear" (font_size 8))) + (text "en" (rect 21 59 35 78)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8))) + (text "dataa[31..0]" (rect 21 75 94 94)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 0 96) + (input) + (text "start" (rect 0 0 27 19)(font "Intel Clear" (font_size 8))) + (text "start" (rect 21 91 48 110)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 200 32) + (output) + (text "result[31..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8))) + (text "result[31..0]" (rect 108 27 179 46)(font "Intel Clear" (font_size 8))) + (line (pt 200 32)(pt 184 32)(line_width 3)) + ) + (port + (pt 200 48) + (output) + (text "done" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "done" (rect 150 43 179 62)(font "Intel Clear" (font_size 8))) + (line (pt 200 48)(pt 184 48)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(symbol + (rect 488 24 632 288) + (text "fp_add" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "inst2" (rect 8 248 30 265)(font "Intel Clear" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 472 288 536 336) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 552 304 616 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 68 25 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 632 304 696 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 68 25 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 648 272 680 288) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 5 25 22)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(connector + (pt 224 248) + (pt 216 248) +) +(connector + (pt 216 248) + (pt 216 120) +) +(connector + (pt 224 216) + (pt 208 216) +) +(connector + (pt 224 200) + (pt 200 200) +) +(connector + (pt 224 184) + (pt 192 184) +) +(connector + (pt 224 104) + (pt 184 104) + (bus) +) +(connector + (pt 224 232) + (pt 184 232) + (bus) +) +(connector + (pt 184 56) + (pt 192 56) +) +(connector + (pt 192 56) + (pt 224 56) +) +(connector + (pt 184 72) + (pt 200 72) +) +(connector + (pt 200 72) + (pt 224 72) +) +(connector + (pt 184 88) + (pt 208 88) +) +(connector + (pt 208 88) + (pt 224 88) +) +(connector + (pt 184 120) + (pt 216 120) +) +(connector + (pt 216 120) + (pt 224 120) +) +(connector + (pt 488 96) + (pt 432 96) +) +(connector + (pt 432 288) + (pt 192 288) +) +(connector + (pt 192 56) + (pt 192 184) +) +(connector + (pt 192 184) + (pt 192 288) +) +(connector + (pt 488 136) + (pt 440 136) +) +(connector + (pt 440 136) + (pt 440 296) +) +(connector + (pt 440 296) + (pt 200 296) +) +(connector + (pt 200 72) + (pt 200 200) +) +(connector + (pt 200 200) + (pt 200 296) +) +(connector + (pt 488 176) + (pt 448 176) +) +(connector + (pt 448 176) + (pt 448 304) +) +(connector + (pt 448 304) + (pt 208 304) +) +(connector + (pt 208 88) + (pt 208 216) +) +(connector + (pt 208 216) + (pt 208 304) +) +(connector + (pt 488 216) + (pt 480 216) + (bus) +) +(connector + (pt 480 216) + (pt 480 56) + (bus) +) +(connector + (pt 480 56) + (pt 424 56) + (bus) +) +(connector + (pt 488 256) + (pt 472 256) + (bus) +) +(connector + (pt 472 256) + (pt 472 184) + (bus) +) +(connector + (pt 472 184) + (pt 424 184) + (bus) +) +(connector + (pt 424 72) + (pt 464 72) +) +(connector + (pt 424 200) + (pt 456 200) +) +(connector + (pt 464 304) + (pt 472 304) +) +(connector + (pt 464 72) + (pt 464 304) +) +(connector + (pt 456 320) + (pt 472 320) +) +(connector + (pt 456 200) + (pt 456 320) +) +(connector + (pt 552 328) + (pt 544 328) +) +(connector + (pt 544 328) + (pt 544 312) +) +(connector + (pt 544 312) + (pt 536 312) +) +(connector + (pt 632 328) + (pt 616 328) +) +(connector + (pt 632 344) + (pt 624 344) +) +(connector + (pt 432 96) + (pt 432 288) +) +(connector + (pt 432 288) + (pt 432 344) +) +(connector + (pt 584 304) + (pt 584 296) +) +(connector + (pt 664 288) + (pt 664 296) +) +(connector + (pt 664 296) + (pt 664 304) +) +(connector + (pt 624 344) + (pt 624 400) +) +(connector + (pt 624 400) + (pt 544 400) +) +(connector + (pt 544 344) + (pt 544 400) +) +(connector + (pt 432 344) + (pt 544 344) +) +(connector + (pt 544 344) + (pt 552 344) +) +(connector + (pt 584 384) + (pt 584 392) +) +(connector + (pt 664 384) + (pt 664 392) +) +(connector + (pt 712 392) + (pt 712 296) +) +(connector + (pt 584 392) + (pt 664 392) +) +(connector + (pt 664 392) + (pt 712 392) +) +(connector + (pt 584 296) + (pt 664 296) +) +(connector + (pt 664 296) + (pt 712 296) +) +(connector + (pt 696 328) + (pt 704 328) +) +(connector + (pt 704 328) + (pt 704 264) +) +(connector + (pt 704 264) + (pt 640 264) +) +(connector + (pt 640 264) + (pt 640 128) +) +(connector + (pt 648 128) + (pt 640 128) +) +(connector + (pt 632 96) + (pt 648 96) + (bus) +) +(junction (pt 192 56)) +(junction (pt 200 72)) +(junction (pt 208 88)) +(junction (pt 216 120)) +(junction (pt 192 184)) +(junction (pt 200 200)) +(junction (pt 208 216)) +(junction (pt 544 344)) +(junction (pt 432 288)) +(junction (pt 664 296)) +(junction (pt 664 392)) diff --git a/system_template_de1_soc/dualfunction_t.bdf b/system_template_de1_soc/dualfunction_t.bdf new file mode 100644 index 0000000..d4b494e --- /dev/null +++ b/system_template_de1_soc/dualfunction_t.bdf @@ -0,0 +1,783 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 16 48 184 64) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 64 184 80) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "reset" (rect 5 0 28 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 80 184 96) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "en" (rect 5 0 16 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 96 184 112) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "dataa[31..0]" (rect 5 0 61 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 224 184 240) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "datab[31..0]" (rect 5 0 61 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 112 184 128) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "start" (rect 5 0 27 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 648 120 824 136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "done" (rect 90 0 113 17)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 648 88 824 104) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result[31..0]" (rect 90 0 149 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 488 24 632 288) + (text "fp_add" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "inst2" (rect 8 248 30 265)(font "Intel Clear" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 472 288 536 336) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 552 304 616 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 68 25 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 632 304 696 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 68 25 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 648 272 680 288) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 5 25 22)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 224 24 424 152) + (text "fullfunction_t" (rect 5 0 82 19)(font "Intel Clear" (font_size 8))) + (text "inst" (rect 8 107 24 124)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 16 19)(font "Intel Clear" (font_size 8))) + (text "clk" (rect 21 27 37 46)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "reset" (rect 21 43 50 62)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "en" (rect 0 0 14 19)(font "Intel Clear" (font_size 8))) + (text "en" (rect 21 59 35 78)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8))) + (text "dataa[31..0]" (rect 21 75 94 94)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 0 96) + (input) + (text "start" (rect 0 0 27 19)(font "Intel Clear" (font_size 8))) + (text "start" (rect 21 91 48 110)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 200 32) + (output) + (text "result[31..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8))) + (text "result[31..0]" (rect 108 27 179 46)(font "Intel Clear" (font_size 8))) + (line (pt 200 32)(pt 184 32)(line_width 3)) + ) + (port + (pt 200 48) + (output) + (text "done" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "done" (rect 150 43 179 62)(font "Intel Clear" (font_size 8))) + (line (pt 200 48)(pt 184 48)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(symbol + (rect 224 152 424 280) + (text "fullfunction_t" (rect 5 0 82 19)(font "Intel Clear" (font_size 8))) + (text "inst1" (rect 8 107 30 124)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 16 19)(font "Intel Clear" (font_size 8))) + (text "clk" (rect 21 27 37 46)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "reset" (rect 21 43 50 62)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "en" (rect 0 0 14 19)(font "Intel Clear" (font_size 8))) + (text "en" (rect 21 59 35 78)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8))) + (text "dataa[31..0]" (rect 21 75 94 94)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 0 96) + (input) + (text "start" (rect 0 0 27 19)(font "Intel Clear" (font_size 8))) + (text "start" (rect 21 91 48 110)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 200 32) + (output) + (text "result[31..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8))) + (text "result[31..0]" (rect 108 27 179 46)(font "Intel Clear" (font_size 8))) + (line (pt 200 32)(pt 184 32)(line_width 3)) + ) + (port + (pt 200 48) + (output) + (text "done" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "done" (rect 150 43 179 62)(font "Intel Clear" (font_size 8))) + (line (pt 200 48)(pt 184 48)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(connector + (pt 224 248) + (pt 216 248) +) +(connector + (pt 216 248) + (pt 216 120) +) +(connector + (pt 224 216) + (pt 208 216) +) +(connector + (pt 224 200) + (pt 200 200) +) +(connector + (pt 224 184) + (pt 192 184) +) +(connector + (pt 224 104) + (pt 184 104) + (bus) +) +(connector + (pt 224 232) + (pt 184 232) + (bus) +) +(connector + (pt 184 56) + (pt 192 56) +) +(connector + (pt 192 56) + (pt 224 56) +) +(connector + (pt 184 72) + (pt 200 72) +) +(connector + (pt 200 72) + (pt 224 72) +) +(connector + (pt 184 88) + (pt 208 88) +) +(connector + (pt 208 88) + (pt 224 88) +) +(connector + (pt 184 120) + (pt 216 120) +) +(connector + (pt 216 120) + (pt 224 120) +) +(connector + (pt 488 96) + (pt 432 96) +) +(connector + (pt 432 288) + (pt 192 288) +) +(connector + (pt 192 56) + (pt 192 184) +) +(connector + (pt 192 184) + (pt 192 288) +) +(connector + (pt 488 136) + (pt 440 136) +) +(connector + (pt 440 136) + (pt 440 296) +) +(connector + (pt 440 296) + (pt 200 296) +) +(connector + (pt 200 72) + (pt 200 200) +) +(connector + (pt 200 200) + (pt 200 296) +) +(connector + (pt 488 176) + (pt 448 176) +) +(connector + (pt 448 176) + (pt 448 304) +) +(connector + (pt 448 304) + (pt 208 304) +) +(connector + (pt 208 88) + (pt 208 216) +) +(connector + (pt 208 216) + (pt 208 304) +) +(connector + (pt 488 216) + (pt 480 216) + (bus) +) +(connector + (pt 480 216) + (pt 480 56) + (bus) +) +(connector + (pt 480 56) + (pt 424 56) + (bus) +) +(connector + (pt 488 256) + (pt 472 256) + (bus) +) +(connector + (pt 472 256) + (pt 472 184) + (bus) +) +(connector + (pt 472 184) + (pt 424 184) + (bus) +) +(connector + (pt 424 72) + (pt 464 72) +) +(connector + (pt 424 200) + (pt 456 200) +) +(connector + (pt 464 304) + (pt 472 304) +) +(connector + (pt 464 72) + (pt 464 304) +) +(connector + (pt 456 320) + (pt 472 320) +) +(connector + (pt 456 200) + (pt 456 320) +) +(connector + (pt 552 328) + (pt 544 328) +) +(connector + (pt 544 328) + (pt 544 312) +) +(connector + (pt 544 312) + (pt 536 312) +) +(connector + (pt 632 328) + (pt 616 328) +) +(connector + (pt 632 344) + (pt 624 344) +) +(connector + (pt 432 96) + (pt 432 288) +) +(connector + (pt 432 288) + (pt 432 344) +) +(connector + (pt 584 304) + (pt 584 296) +) +(connector + (pt 664 288) + (pt 664 296) +) +(connector + (pt 664 296) + (pt 664 304) +) +(connector + (pt 624 344) + (pt 624 400) +) +(connector + (pt 624 400) + (pt 544 400) +) +(connector + (pt 544 344) + (pt 544 400) +) +(connector + (pt 432 344) + (pt 544 344) +) +(connector + (pt 544 344) + (pt 552 344) +) +(connector + (pt 584 384) + (pt 584 392) +) +(connector + (pt 664 384) + (pt 664 392) +) +(connector + (pt 712 392) + (pt 712 296) +) +(connector + (pt 584 392) + (pt 664 392) +) +(connector + (pt 664 392) + (pt 712 392) +) +(connector + (pt 584 296) + (pt 664 296) +) +(connector + (pt 664 296) + (pt 712 296) +) +(connector + (pt 696 328) + (pt 704 328) +) +(connector + (pt 704 328) + (pt 704 264) +) +(connector + (pt 704 264) + (pt 640 264) +) +(connector + (pt 640 264) + (pt 640 128) +) +(connector + (pt 648 128) + (pt 640 128) +) +(connector + (pt 632 96) + (pt 648 96) + (bus) +) +(junction (pt 192 56)) +(junction (pt 200 72)) +(junction (pt 208 88)) +(junction (pt 216 120)) +(junction (pt 192 184)) +(junction (pt 200 200)) +(junction (pt 208 216)) +(junction (pt 544 344)) +(junction (pt 432 288)) +(junction (pt 664 296)) +(junction (pt 664 392)) diff --git a/system_template_de1_soc/first_nios2_system.sopcinfo b/system_template_de1_soc/first_nios2_system.sopcinfo new file mode 100644 index 0000000..e639acf --- /dev/null +++ b/system_template_de1_soc/first_nios2_system.sopcinfo @@ -0,0 +1,9238 @@ + + + + + + + java.lang.Integer + 1648416658 + false + true + false + true + GENERATION_ID + + + java.lang.String + + false + true + false + true + UNIQUE_ID + + + java.lang.String + CYCLONEV + false + true + false + true + DEVICE_FAMILY + + + java.lang.String + 5CSEMA5F31C6 + false + true + false + true + DEVICE + + + java.lang.String + 6 + false + true + false + true + DEVICE_SPEEDGRADE + + + java.lang.Long + -1 + false + true + false + true + CLOCK_RATE + clk + + + java.lang.Integer + -1 + false + true + false + true + CLOCK_DOMAIN + clk + + + java.lang.Integer + -1 + false + true + false + true + RESET_DOMAIN + clk + + + java.lang.String + Cyclone V + false + true + false + true + DEVICE_FAMILY + + + boolean + false + false + true + true + true + + + + + long + 50000000 + false + true + true + true + + + boolean + true + false + true + true + true + + + long + 0 + false + true + false + true + CLOCK_RATE + clk_in + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + qsys.ui.export_name + clk + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + in_clk + Input + 1 + clk + + + + + + qsys.ui.export_name + reset + + + java.lang.String + + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + java.lang.String + clk_in + false + true + true + true + + + long + 50000000 + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + true + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + true + + clk_out + Output + 1 + clk + + + false + cpu + clk + cpu.clk + + + false + jtag_uart + clk + jtag_uart.clk + + + false + sys_clk_timer + clk + sys_clk_timer.clk + + + false + sysid + clk + sysid.clk + + + false + led_pio + clk + led_pio.clk + + + false + sdram + clk + sdram.clk + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + clk_in_reset + false + true + true + true + + + [Ljava.lang.String; + clk_in_reset + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + true + + reset_n_out + Output + 1 + reset_n + + + + + + + debug.hostConnection + type jtag id 70:34|110:135 + + + embeddedsw.CMacro.BIG_ENDIAN + 0 + + + embeddedsw.CMacro.BREAK_ADDR + 0x01000820 + + + embeddedsw.CMacro.CPU_ARCH_NIOS2_R1 + + + + embeddedsw.CMacro.CPU_FREQ + 50000000u + + + embeddedsw.CMacro.CPU_ID_SIZE + 1 + + + embeddedsw.CMacro.CPU_ID_VALUE + 0x00000000 + + + embeddedsw.CMacro.CPU_IMPLEMENTATION + "fast" + + + embeddedsw.CMacro.DATA_ADDR_WIDTH + 25 + + + embeddedsw.CMacro.DCACHE_BYPASS_MASK + 0x80000000 + + + embeddedsw.CMacro.DCACHE_LINE_SIZE + 32 + + + embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 + 5 + + + embeddedsw.CMacro.DCACHE_SIZE + 2048 + + + embeddedsw.CMacro.EXCEPTION_ADDR + 0x00800020 + + + embeddedsw.CMacro.FLASH_ACCELERATOR_LINES + 0 + + + embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE + 0 + + + embeddedsw.CMacro.FLUSHDA_SUPPORTED + + + + embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT + 0 + + + embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT + 0 + + + embeddedsw.CMacro.HARDWARE_MULX_PRESENT + 0 + + + embeddedsw.CMacro.HAS_DEBUG_CORE + 1 + + + embeddedsw.CMacro.HAS_DEBUG_STUB + + + + embeddedsw.CMacro.HAS_EXTRA_EXCEPTION_INFO + + + + embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION + + + + embeddedsw.CMacro.HAS_JMPI_INSTRUCTION + + + + embeddedsw.CMacro.ICACHE_LINE_SIZE + 32 + + + embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 + 5 + + + embeddedsw.CMacro.ICACHE_SIZE + 2048 + + + embeddedsw.CMacro.INITDA_SUPPORTED + + + + embeddedsw.CMacro.INST_ADDR_WIDTH + 25 + + + embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS + 0 + + + embeddedsw.CMacro.OCI_VERSION + 1 + + + embeddedsw.CMacro.RESET_ADDR + 0x00800000 + + + embeddedsw.configuration.DataCacheVictimBufImpl + ram + + + embeddedsw.configuration.HDLSimCachesCleared + 1 + + + embeddedsw.configuration.breakOffset + 32 + + + embeddedsw.configuration.breakSlave + cpu.debug_mem_slave + + + embeddedsw.configuration.cpuArchitecture + Nios II + + + embeddedsw.configuration.exceptionOffset + 32 + + + embeddedsw.configuration.exceptionSlave + sdram.s1 + + + embeddedsw.configuration.resetOffset + 0 + + + embeddedsw.configuration.resetSlave + sdram.s1 + + + embeddedsw.dts.compatible + altr,nios2-1.1 + + + embeddedsw.dts.group + cpu + + + embeddedsw.dts.name + nios2 + + + embeddedsw.dts.params.altr,exception-addr + 0x00800020 + + + embeddedsw.dts.params.altr,has-initda + 1 + + + embeddedsw.dts.params.altr,implementation + "fast" + + + embeddedsw.dts.params.altr,reset-addr + 0x00800000 + + + embeddedsw.dts.params.clock-frequency + 50000000u + + + embeddedsw.dts.params.dcache-line-size + 32 + + + embeddedsw.dts.params.dcache-size + 2048 + + + embeddedsw.dts.params.icache-line-size + 32 + + + embeddedsw.dts.params.icache-size + 2048 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 0 + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 8 + false + false + true + true + + + int + 8 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + true + true + true + + + int + 32 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 32 + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + sdram.s1 + false + true + true + true + + + java.lang.String + None + false + false + true + true + + + java.lang.String + sdram.s1 + false + true + true + true + + + java.lang.String + None + false + true + false + true + + + java.lang.String + Internal + false + true + true + true + + + java.lang.String + Dynamic + false + true + true + true + + + int + 8 + false + true + true + true + + + int + 1 + false + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + fast_le_shift + true + true + false + true + + + java.lang.String + no_mul + true + true + false + true + + + int + 1 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + java.lang.String + no_div + false + true + true + true + + + int + 12 + false + false + true + true + + + int + 12 + false + false + true + true + + + int + 4 + false + false + true + true + + + int + 6 + false + false + true + true + + + int + 7 + false + false + true + true + + + int + 16 + false + false + true + true + + + int + 8 + false + false + true + true + + + java.lang.String + Fast + false + true + true + true + + + int + 2048 + false + true + true + true + + + int + 2 + false + false + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + None + false + true + true + true + + + java.lang.String + false + false + true + true + true + + + java.lang.String + ram + false + true + true + true + + + int + 2048 + false + true + true + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + true + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + java.lang.String + _128 + false + false + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + none + false + true + true + true + + + java.lang.String + onchip_trace + false + false + true + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 8388608 + true + true + true + true + + + int + 8388640 + true + true + true + true + + + int + 16779296 + true + true + false + true + + + int + 0 + true + true + true + true + + + java.lang.String + false + true + true + false + true + + + int + 2048 + true + true + false + true + + + java.lang.String + cpu.debug_mem_slave + true + true + false + true + + + int + 32 + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + java.lang.String + "synthesis translate_on" + true + true + false + true + + + java.lang.String + "synthesis translate_off" + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + int + 25 + false + true + false + true + ADDRESS_WIDTH + instruction_master + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + flash_instruction_master + + + int + 25 + false + true + false + true + ADDRESS_WIDTH + data_master + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_data_master_0 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_data_master_1 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_data_master_2 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_data_master_3 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_instruction_master_0 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_instruction_master_1 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_instruction_master_2 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_instruction_master_3 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + data_master_high_performance + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + instruction_master_high_performance + + + java.lang.String + ]]> + false + true + false + true + ADDRESS_MAP + instruction_master + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + flash_instruction_master + + + java.lang.String + ]]> + false + true + false + true + ADDRESS_MAP + data_master + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_data_master_0 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_data_master_1 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_data_master_2 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_data_master_3 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_instruction_master_0 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_instruction_master_1 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_instruction_master_2 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_instruction_master_3 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + data_master_high_performance + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + instruction_master_high_performance + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + java.lang.String + CYCLONEV + false + true + false + true + DEVICE_FAMILY + + + long + 65538 + false + true + false + true + INTERRUPTS_USED + irq + + + java.lang.String + ]]> + false + true + false + true + CUSTOM_INSTRUCTION_SLAVES + custom_instruction_master + + + java.lang.String + ]]> + false + true + false + true + CUSTOM_INSTRUCTION_SLAVES + custom_instruction_master_a + + + java.lang.String + ]]> + false + true + false + true + CUSTOM_INSTRUCTION_SLAVES + custom_instruction_master_b + + + java.lang.String + ]]> + false + true + false + true + CUSTOM_INSTRUCTION_SLAVES + custom_instruction_master_c + + + java.lang.String + COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 1 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 1 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 + false + true + false + true + DEVICE_FEATURES + + + java.lang.String + 5CSEMA5F31C6 + false + true + false + true + DEVICE + + + java.lang.String + 6 + false + true + false + true + DEVICE_SPEEDGRADE + + + java.lang.Integer + 1 + false + true + false + true + CLOCK_DOMAIN + clk + + + java.lang.Integer + 1 + false + true + false + true + RESET_DOMAIN + clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + reset_req + Input + 1 + reset_req + + + + + + debug.providesServices + master + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 1 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + true + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + d_address + Output + 25 + address + + + d_byteenable + Output + 4 + byteenable + + + d_read + Output + 1 + read + + + d_readdata + Input + 32 + readdata + + + d_waitrequest + Input + 1 + waitrequest + + + d_write + Output + 1 + write + + + d_writedata + Output + 32 + writedata + + + d_readdatavalid + Input + 1 + readdatavalid + + + debug_mem_slave_debugaccess_to_roms + Output + 1 + debugaccess + + + false + jtag_uart + avalon_jtag_slave + jtag_uart.avalon_jtag_slave + 16781368 + 8 + + + false + sysid + control_slave + sysid.control_slave + 16781360 + 8 + + + false + cpu + debug_mem_slave + cpu.debug_mem_slave + 16779264 + 2048 + + + false + sys_clk_timer + s1 + sys_clk_timer.s1 + 16781312 + 32 + + + false + led_pio + s1 + led_pio.s1 + 16781344 + 16 + + + false + sdram + s1 + sdram.s1 + 8388608 + 8388608 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 1 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + true + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + i_address + Output + 25 + address + + + i_read + Output + 1 + read + + + i_readdata + Input + 32 + readdata + + + i_waitrequest + Input + 1 + waitrequest + + + i_readdatavalid + Input + 1 + readdatavalid + + + false + cpu + debug_mem_slave + cpu.debug_mem_slave + 16779264 + 2048 + + + false + sdram + s1 + sdram.s1 + 8388608 + 8388608 + + + + + + com.altera.entityinterfaces.IConnectionPoint + cpu.data_master + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.String + + false + true + false + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + INDIVIDUAL_REQUESTS + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + true + + irq + Input + 32 + irq + + + false + sys_clk_timer + irq + sys_clk_timer.irq + 1 + + + false + jtag_uart + irq + jtag_uart.irq + 16 + + + + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + + false + true + true + true + + + [Ljava.lang.String; + none + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + true + + debug_reset_request + Output + 1 + reset + + + + + + embeddedsw.configuration.hideDevice + 1 + + + qsys.ui.connect + instruction_master,data_master + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 2048 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + 0 + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + debug_mem_slave_address + Input + 9 + address + + + debug_mem_slave_byteenable + Input + 4 + byteenable + + + debug_mem_slave_debugaccess + Input + 1 + debugaccess + + + debug_mem_slave_read + Input + 1 + read + + + debug_mem_slave_readdata + Output + 32 + readdata + + + debug_mem_slave_waitrequest + Output + 1 + waitrequest + + + debug_mem_slave_write + Input + 1 + write + + + debug_mem_slave_writedata + Input + 32 + writedata + + + + + + java.lang.String + + true + true + false + true + + + int + 8 + false + true + false + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + int + 8 + false + true + false + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + true + + A_ci_multi_done + Input + 1 + done + + + A_ci_multi_result + Input + 32 + multi_result + + + A_ci_multi_a + Output + 5 + multi_a + + + A_ci_multi_b + Output + 5 + multi_b + + + A_ci_multi_c + Output + 5 + multi_c + + + A_ci_multi_clk_en + Output + 1 + clk_en + + + A_ci_multi_clock + Output + 1 + clk + + + A_ci_multi_reset + Output + 1 + reset + + + A_ci_multi_reset_req + Output + 1 + reset_req + + + A_ci_multi_dataa + Output + 32 + multi_dataa + + + A_ci_multi_datab + Output + 32 + multi_datab + + + A_ci_multi_n + Output + 8 + multi_n + + + A_ci_multi_readra + Output + 1 + multi_readra + + + A_ci_multi_readrb + Output + 1 + multi_readrb + + + A_ci_multi_start + Output + 1 + start + + + A_ci_multi_writerc + Output + 1 + multi_writerc + + + false + fp_add + fp_add_slave + fp_add.fp_add_slave + 0 + fp_add + + + false + fp_mul + fp_mul_slave + fp_mul.fp_mul_slave + 2 + fp_mul + + + false + fp_sub + fp_sub_slave + fp_sub.fp_sub_slave + 1 + fp_sub + + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + + true + true + false + true + + + int + 0 + true + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType + MULTICYCLE + true + true + true + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 2 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + false + + areset + Input + 1 + reset + + + en + Input + 1 + clk_en + + + a + Input + 32 + dataa + + + b + Input + 32 + datab + + + q + Output + 32 + result + + + clk + Input + 1 + clk + + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + + true + true + false + true + + + int + 0 + true + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType + MULTICYCLE + true + true + true + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 2 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + false + + areset + Input + 1 + reset + + + en + Input + 1 + clk_en + + + a + Input + 32 + dataa + + + b + Input + 32 + datab + + + q + Output + 32 + result + + + clk + Input + 1 + clk + + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + + true + true + false + true + + + int + 0 + true + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType + MULTICYCLE + true + true + true + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 2 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + false + + areset + Input + 1 + reset + + + en + Input + 1 + clk_en + + + a + Input + 32 + dataa + + + b + Input + 32 + datab + + + q + Output + 32 + result + + + clk + Input + 1 + clk + + + + + + + embeddedsw.CMacro.READ_DEPTH + 64 + + + embeddedsw.CMacro.READ_THRESHOLD + 8 + + + embeddedsw.CMacro.WRITE_DEPTH + 64 + + + embeddedsw.CMacro.WRITE_THRESHOLD + 8 + + + embeddedsw.dts.compatible + altr,juart-1.0 + + + embeddedsw.dts.group + serial + + + embeddedsw.dts.name + juart + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 64 + false + true + true + true + + + int + 8 + false + true + true + true + + + java.lang.String + + false + false + false + true + + + java.lang.String + NO_INTERACTIVE_WINDOWS + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + int + 64 + false + true + true + true + + + int + 8 + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + java.lang.String + 2.0 + false + true + false + true + AVALON_SPEC + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + rst_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 1 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 2 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + true + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + av_chipselect + Input + 1 + chipselect + + + av_address + Input + 1 + address + + + av_read_n + Input + 1 + read_n + + + av_readdata + Output + 32 + readdata + + + av_write_n + Input + 1 + write_n + + + av_writedata + Input + 32 + writedata + + + av_waitrequest + Output + 1 + waitrequest + + + + + + com.altera.entityinterfaces.IConnectionPoint + jtag_uart.avalon_jtag_slave + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.Integer + + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + av_irq + Output + 1 + irq + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 8 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + embeddedsw.dts.group + gpio + + + embeddedsw.dts.name + pio + + + embeddedsw.dts.params.altr,gpio-bank-width + 8 + + + embeddedsw.dts.params.resetvalue + 0 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 8 + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 8 + export + + + + + + + embeddedsw.CMacro.CAS_LATENCY + 3 + + + embeddedsw.CMacro.CONTENTS_INFO + + + + embeddedsw.CMacro.INIT_NOP_DELAY + 0.0 + + + embeddedsw.CMacro.INIT_REFRESH_COMMANDS + 2 + + + embeddedsw.CMacro.IS_INITIALIZED + 1 + + + embeddedsw.CMacro.POWERUP_DELAY + 100.0 + + + embeddedsw.CMacro.REFRESH_PERIOD + 15.625 + + + embeddedsw.CMacro.REGISTER_DATA_IN + 1 + + + embeddedsw.CMacro.SDRAM_ADDR_WIDTH + 22 + + + embeddedsw.CMacro.SDRAM_BANK_WIDTH + 2 + + + embeddedsw.CMacro.SDRAM_COL_WIDTH + 8 + + + embeddedsw.CMacro.SDRAM_DATA_WIDTH + 16 + + + embeddedsw.CMacro.SDRAM_NUM_BANKS + 4 + + + embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS + 1 + + + embeddedsw.CMacro.SDRAM_ROW_WIDTH + 12 + + + embeddedsw.CMacro.SHARED_DATA + 0 + + + embeddedsw.CMacro.SIM_MODEL_BASE + 0 + + + embeddedsw.CMacro.STARVATION_INDICATOR + 0 + + + embeddedsw.CMacro.TRISTATE_BRIDGE_SLAVE + "" + + + embeddedsw.CMacro.T_AC + 5.5 + + + embeddedsw.CMacro.T_MRD + 3 + + + embeddedsw.CMacro.T_RCD + 20.0 + + + embeddedsw.CMacro.T_RFC + 70.0 + + + embeddedsw.CMacro.T_RP + 20.0 + + + embeddedsw.CMacro.T_WR + 14.0 + + + embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR + SIM_DIR + + + embeddedsw.memoryInfo.GENERATE_DAT_SYM + 1 + + + embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH + 16 + + + double + 5.5 + false + true + true + true + + + double + 20.0 + false + true + true + true + + + double + 70.0 + false + true + true + true + + + double + 20.0 + false + true + true + true + + + double + 14.0 + false + true + true + true + + + int + 3 + false + true + true + true + + + int + 8 + false + true + true + true + + + int + 16 + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 2 + false + true + true + true + + + java.lang.String + single_Micron_MT48LC4M32B2_7_chip + false + true + false + true + + + int + 4 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + double + 100.0 + false + true + true + true + + + double + 15.625 + false + true + true + true + + + int + 12 + false + true + true + true + + + int + 0 + false + false + false + true + + + long + 3 + false + true + false + true + + + double + 0.0 + false + true + false + true + + + boolean + true + false + true + false + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + java.lang.String + first_nios2_system_sdram + false + true + false + true + UNIQUE_ID + + + long + 8388608 + true + true + false + true + + + int + 22 + true + true + false + true + + + int + 2 + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 1 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8388608 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 7 + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + az_addr + Input + 22 + address + + + az_be_n + Input + 2 + byteenable_n + + + az_cs + Input + 1 + chipselect + + + az_data + Input + 16 + writedata + + + az_rd_n + Input + 1 + read_n + + + az_wr_n + Input + 1 + write_n + + + za_data + Output + 16 + readdata + + + za_valid + Output + 1 + readdatavalid + + + za_waitrequest + Output + 1 + waitrequest + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + zs_addr + Output + 12 + export + + + zs_ba + Output + 2 + export + + + zs_cas_n + Output + 1 + export + + + zs_cke + Output + 1 + export + + + zs_cs_n + Output + 1 + export + + + zs_dq + Bidir + 16 + export + + + zs_dqm + Output + 2 + export + + + zs_ras_n + Output + 1 + export + + + zs_we_n + Output + 1 + export + + + + + + + embeddedsw.CMacro.ALWAYS_RUN + 0 + + + embeddedsw.CMacro.COUNTER_SIZE + 32 + + + embeddedsw.CMacro.FIXED_PERIOD + 0 + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.LOAD_VALUE + 4999 + + + embeddedsw.CMacro.MULT + 0.000001 + + + embeddedsw.CMacro.PERIOD + 100 + + + embeddedsw.CMacro.PERIOD_UNITS + us + + + embeddedsw.CMacro.RESET_OUTPUT + 0 + + + embeddedsw.CMacro.SNAPSHOT + 1 + + + embeddedsw.CMacro.TICKS_PER_SEC + 10000 + + + embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT + 0 + + + embeddedsw.dts.compatible + altr,timer-1.0 + + + embeddedsw.dts.group + timer + + + embeddedsw.dts.name + timer + + + embeddedsw.dts.params.clock-frequency + 50000000 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + true + true + true + + + int + 32 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + 100 + false + true + true + true + + + java.lang.String + USEC + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + int + 2 + false + true + false + true + + + java.lang.String + FULL_FEATURED + true + true + false + true + + + java.lang.String + us + true + true + false + true + + + double + 1.0E-6 + true + true + false + true + + + java.lang.String + 4999 + true + true + false + true + + + double + 1.0E-6 + true + true + false + true + + + double + 10000.0 + true + true + false + true + + + int + 3 + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + embeddedsw.configuration.isTimerDevice + 1 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 3 + address + + + writedata + Input + 16 + writedata + + + readdata + Output + 16 + readdata + + + chipselect + Input + 1 + chipselect + + + write_n + Input + 1 + write_n + + + + + + com.altera.entityinterfaces.IConnectionPoint + sys_clk_timer.s1 + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.Integer + + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + irq + Output + 1 + irq + + + + + + + embeddedsw.CMacro.ID + 305419896 + + + embeddedsw.CMacro.TIMESTAMP + 1648416658 + + + embeddedsw.dts.compatible + altr,sysid-1.0 + + + embeddedsw.dts.group + sysid + + + embeddedsw.dts.name + sysid + + + embeddedsw.dts.params.id + 305419896 + + + embeddedsw.dts.params.timestamp + 1648416658 + + + embeddedsw.dts.vendor + altr + + + int + 305419896 + false + true + true + true + + + int + 1648416658 + true + false + false + true + GENERATION_ID + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clock + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isMemoryDevice + false + + + embeddedsw.configuration.isNonVolatileStorage + false + + + embeddedsw.configuration.isPrintableDevice + false + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + readdata + Output + 32 + readdata + + + address + Input + 1 + address + + + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01001038 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + jtag_uart + avalon_jtag_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01001030 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + sysid + control_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01000800 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + cpu + debug_mem_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01001000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + sys_clk_timer + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01001020 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + led_pio + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00800000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + sdram + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01000800 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + instruction_master + cpu + debug_mem_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00800000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + instruction_master + sdram + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + cpu + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + jtag_uart + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sys_clk_timer + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sysid + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + led_pio + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sdram + clk + + + + int + 1 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + irq + sys_clk_timer + irq + + + + int + 16 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + irq + jtag_uart + irq + + + + java.lang.String + fp_add + false + true + true + true + + + java.lang.String + + true + true + true + true + + + int + 1 + false + true + false + true + + + long + 0 + false + true + true + true + + + int + -1 + true + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + custom_instruction_master + fp_add + fp_add_slave + + + + java.lang.String + fp_mul + false + true + true + true + + + java.lang.String + + true + true + true + true + + + int + 1 + false + true + false + true + + + long + 2 + false + true + true + true + + + int + -1 + true + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + custom_instruction_master + fp_mul + fp_mul_slave + + + + java.lang.String + fp_sub + false + true + true + true + + + java.lang.String + + true + true + true + true + + + int + 1 + false + true + false + true + + + long + 1 + false + true + true + true + + + int + -1 + true + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + custom_instruction_master + fp_sub + fp_sub_slave + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + cpu + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + jtag_uart + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + sys_clk_timer + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + sysid + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + led_pio + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + sdram + reset + + + 1 + clock_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Clock Source + 20.1 + + + 1 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 20.1 + + + 1 + reset_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Input + 20.1 + + + 1 + clock_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Output + 20.1 + + + 1 + reset_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Output + 20.1 + + + 1 + altera_nios2_gen2 + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Nios II Processor + 20.1 + + + 6 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 20.1 + + + 6 + reset_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Input + 20.1 + + + 2 + avalon_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Master + 20.1 + + + 1 + interrupt_receiver + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Receiver + 20.1 + + + 1 + reset_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Output + 20.1 + + + 6 + avalon_slave + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave + 20.1 + + + 1 + nios_custom_instruction_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Custom Instruction Master + 20.1 + + + 1 + fp_add + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Floating Point Add + 20.1 + + + 3 + nios_custom_instruction_slave + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Custom Instruction Slave + 20.1 + + + 1 + fp_mul + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Floating Point Mul + 20.1 + + + 1 + fp_sub + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Floating Point Sub + 20.1 + + + 1 + altera_avalon_jtag_uart + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + JTAG UART Intel FPGA IP + 20.1 + + + 2 + interrupt_sender + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Sender + 20.1 + + + 1 + altera_avalon_pio + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + PIO (Parallel I/O) Intel FPGA IP + 20.1 + + + 2 + conduit_end + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Conduit + 20.1 + + + 1 + altera_avalon_new_sdram_controller + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + SDRAM Controller Intel FPGA IP + 20.1 + + + 1 + altera_avalon_timer + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Interval Timer Intel FPGA IP + 20.1 + + + 1 + altera_avalon_sysid_qsys + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + System ID Peripheral Intel FPGA IP + 20.1 + + + 8 + avalon + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Avalon Memory Mapped Connection + 20.1 + + + 6 + clock + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Clock Connection + 20.1 + + + 2 + interrupt + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Interrupt Connection + 20.1 + + + 3 + nios_custom_instruction + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Nios II Custom Instruction Connection + 20.1 + + + 6 + reset + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Reset Connection + 20.1 + + 20.1 720 + + diff --git a/system_template_de1_soc/fix_to_fp.qip b/system_template_de1_soc/fix_to_fp.qip new file mode 100644 index 0000000..cc832bb --- /dev/null +++ b/system_template_de1_soc/fix_to_fp.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fix_to_fp" -name MISC_FILE [file join $::quartus(qip_path) "fix_to_fp.cmp"] +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_NAME "Zml4X3RvX2Zw" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_NAME "Zml4X3RvX2ZwXzAwMDI=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::Q09OVkVSVA==::RmFtaWx5" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::QURE::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::RlhQX0ZQ::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::ZmFsc2U=::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MzA=::RnJhY3Rpb24=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::NDM=::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::MzQ3::TFVUcw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fix_to_fp" -name VERILOG_FILE [file join $::quartus(qip_path) "fix_to_fp.v"] +set_global_assignment -library "fix_to_fp" -name VHDL_FILE [file join $::quartus(qip_path) "fix_to_fp/dspba_library_package.vhd"] +set_global_assignment -library "fix_to_fp" -name VHDL_FILE [file join $::quartus(qip_path) "fix_to_fp/dspba_library.vhd"] +set_global_assignment -library "fix_to_fp" -name VHDL_FILE [file join $::quartus(qip_path) "fix_to_fp/fix_to_fp_0002.vhd"] + +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_add.qip b/system_template_de1_soc/fp_add.qip new file mode 100644 index 0000000..e8223cf --- /dev/null +++ b/system_template_de1_soc/fp_add.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_add" -name MISC_FILE [file join $::quartus(qip_path) "fp_add.cmp"] +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_NAME "ZnBfYWRk" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_NAME "ZnBfYWRkXzAwMDI=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::QVJJVEg=::RmFtaWx5" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::QURE::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::QURE::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::dHJ1ZQ==::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MA==::RnJhY3Rpb24=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::NzI5::TFVUcw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_add" -name VHDL_FILE [file join $::quartus(qip_path) "fp_add.vhd"] +set_global_assignment -library "fp_add" -name VHDL_FILE [file join $::quartus(qip_path) "fp_add/dspba_library_package.vhd"] +set_global_assignment -library "fp_add" -name VHDL_FILE [file join $::quartus(qip_path) "fp_add/dspba_library.vhd"] +set_global_assignment -library "fp_add" -name VHDL_FILE [file join $::quartus(qip_path) "fp_add/fp_add_0002.vhd"] + +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_add.vhd b/system_template_de1_soc/fp_add.vhd new file mode 100644 index 0000000..19509e6 --- /dev/null +++ b/system_template_de1_soc/fp_add.vhd @@ -0,0 +1,114 @@ +-- megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% +-- GENERATION: XML +-- fp_add.vhd + +-- Generated using ACDS version 20.1 720 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity fp_add is + port ( + clk : in std_logic := '0'; -- clk.clk + areset : in std_logic := '0'; -- areset.reset + en : in std_logic_vector(0 downto 0) := (others => '0'); -- en.en + a : in std_logic_vector(31 downto 0) := (others => '0'); -- a.a + b : in std_logic_vector(31 downto 0) := (others => '0'); -- b.b + q : out std_logic_vector(31 downto 0) -- q.q + ); +end entity fp_add; + +architecture rtl of fp_add is + component fp_add_0002 is + port ( + clk : in std_logic := 'X'; -- clk + areset : in std_logic := 'X'; -- reset + en : in std_logic_vector(0 downto 0) := (others => 'X'); -- en + a : in std_logic_vector(31 downto 0) := (others => 'X'); -- a + b : in std_logic_vector(31 downto 0) := (others => 'X'); -- b + q : out std_logic_vector(31 downto 0) -- q + ); + end component fp_add_0002; + +begin + + fp_add_inst : component fp_add_0002 + port map ( + clk => clk, -- clk.clk + areset => areset, -- areset.reset + en => en, -- en.en + a => a, -- a.a + b => b, -- b.b + q => q -- q.q + ); + +end architecture rtl; -- of fp_add +-- Retrieval info: +-- +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- IPFS_FILES : fp_add.vho +-- RELATED_FILES: fp_add.vhd, dspba_library_package.vhd, dspba_library.vhd, fp_add_0002.vhd diff --git a/system_template_de1_soc/fp_add_hw.tcl b/system_template_de1_soc/fp_add_hw.tcl new file mode 100644 index 0000000..b6ba646 --- /dev/null +++ b/system_template_de1_soc/fp_add_hw.tcl @@ -0,0 +1,73 @@ +# TCL File Generated by Component Editor 20.1 +# Wed Mar 09 17:06:57 GMT 2022 +# DO NOT MODIFY + + +# +# fp_add "Floating Point Add" v20.1 +# 2022.03.09.17:06:57 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module fp_add +# +set_module_property DESCRIPTION "" +set_module_property NAME fp_add +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Floating Point Add" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fp_add +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fp_add.vhd VHDL PATH fp_add.vhd TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fp_add_slave +# +add_interface fp_add_slave nios_custom_instruction end +set_interface_property fp_add_slave clockCycle 0 +set_interface_property fp_add_slave operands 2 +set_interface_property fp_add_slave ENABLED true +set_interface_property fp_add_slave EXPORT_OF "" +set_interface_property fp_add_slave PORT_NAME_MAP "" +set_interface_property fp_add_slave CMSIS_SVD_VARIABLES "" +set_interface_property fp_add_slave SVD_ADDRESS_GROUP "" + +add_interface_port fp_add_slave areset reset Input 1 +add_interface_port fp_add_slave en clk_en Input 1 +add_interface_port fp_add_slave a dataa Input 32 +add_interface_port fp_add_slave b datab Input 32 +add_interface_port fp_add_slave q result Output 32 +add_interface_port fp_add_slave clk clk Input 1 + diff --git a/system_template_de1_soc/fp_mul.qip b/system_template_de1_soc/fp_mul.qip new file mode 100644 index 0000000..23ac3b2 --- /dev/null +++ b/system_template_de1_soc/fp_mul.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_mul" -name MISC_FILE [file join $::quartus(qip_path) "fp_mul.cmp"] +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_NAME "ZnBfbXVs" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_NAME "ZnBfbXVsXzAwMDI=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::QVJJVEg=::RmFtaWx5" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::TVVM::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::TVVM::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::dHJ1ZQ==::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MA==::RnJhY3Rpb24=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::Mg==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::MTg1::TFVUcw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_mul" -name VHDL_FILE [file join $::quartus(qip_path) "fp_mul.vhd"] +set_global_assignment -library "fp_mul" -name VHDL_FILE [file join $::quartus(qip_path) "fp_mul/dspba_library_package.vhd"] +set_global_assignment -library "fp_mul" -name VHDL_FILE [file join $::quartus(qip_path) "fp_mul/dspba_library.vhd"] +set_global_assignment -library "fp_mul" -name VHDL_FILE [file join $::quartus(qip_path) "fp_mul/fp_mul_0002.vhd"] + +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_mul.vhd b/system_template_de1_soc/fp_mul.vhd new file mode 100644 index 0000000..39d5ae0 --- /dev/null +++ b/system_template_de1_soc/fp_mul.vhd @@ -0,0 +1,114 @@ +-- megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% +-- GENERATION: XML +-- fp_mul.vhd + +-- Generated using ACDS version 20.1 720 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity fp_mul is + port ( + clk : in std_logic := '0'; -- clk.clk + areset : in std_logic := '0'; -- areset.reset + en : in std_logic_vector(0 downto 0) := (others => '0'); -- en.en + a : in std_logic_vector(31 downto 0) := (others => '0'); -- a.a + b : in std_logic_vector(31 downto 0) := (others => '0'); -- b.b + q : out std_logic_vector(31 downto 0) -- q.q + ); +end entity fp_mul; + +architecture rtl of fp_mul is + component fp_mul_0002 is + port ( + clk : in std_logic := 'X'; -- clk + areset : in std_logic := 'X'; -- reset + en : in std_logic_vector(0 downto 0) := (others => 'X'); -- en + a : in std_logic_vector(31 downto 0) := (others => 'X'); -- a + b : in std_logic_vector(31 downto 0) := (others => 'X'); -- b + q : out std_logic_vector(31 downto 0) -- q + ); + end component fp_mul_0002; + +begin + + fp_mul_inst : component fp_mul_0002 + port map ( + clk => clk, -- clk.clk + areset => areset, -- areset.reset + en => en, -- en.en + a => a, -- a.a + b => b, -- b.b + q => q -- q.q + ); + +end architecture rtl; -- of fp_mul +-- Retrieval info: +-- +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- IPFS_FILES : fp_mul.vho +-- RELATED_FILES: fp_mul.vhd, dspba_library_package.vhd, dspba_library.vhd, fp_mul_0002.vhd diff --git a/system_template_de1_soc/fp_mul_hw.tcl b/system_template_de1_soc/fp_mul_hw.tcl new file mode 100644 index 0000000..245c938 --- /dev/null +++ b/system_template_de1_soc/fp_mul_hw.tcl @@ -0,0 +1,73 @@ +# TCL File Generated by Component Editor 20.1 +# Sun Mar 27 16:58:15 BST 2022 +# DO NOT MODIFY + + +# +# fp_mul "Floating Point Mul" v20.1 +# 2022.03.27.16:58:15 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module fp_mul +# +set_module_property DESCRIPTION "" +set_module_property NAME fp_mul +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Floating Point Mul" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fp_mul +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fp_mul.vhd VHDL PATH fp_mul.vhd TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fp_mul_slave +# +add_interface fp_mul_slave nios_custom_instruction end +set_interface_property fp_mul_slave clockCycle 0 +set_interface_property fp_mul_slave operands 2 +set_interface_property fp_mul_slave ENABLED true +set_interface_property fp_mul_slave EXPORT_OF "" +set_interface_property fp_mul_slave PORT_NAME_MAP "" +set_interface_property fp_mul_slave CMSIS_SVD_VARIABLES "" +set_interface_property fp_mul_slave SVD_ADDRESS_GROUP "" + +add_interface_port fp_mul_slave areset reset Input 1 +add_interface_port fp_mul_slave en clk_en Input 1 +add_interface_port fp_mul_slave a dataa Input 32 +add_interface_port fp_mul_slave b datab Input 32 +add_interface_port fp_mul_slave q result Output 32 +add_interface_port fp_mul_slave clk clk Input 1 + diff --git a/system_template_de1_soc/fp_sub.qip b/system_template_de1_soc/fp_sub.qip new file mode 100644 index 0000000..8742458 --- /dev/null +++ b/system_template_de1_soc/fp_sub.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_sub" -name MISC_FILE [file join $::quartus(qip_path) "fp_sub.cmp"] +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_NAME "ZnBfc3Vi" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_NAME "ZnBfc3ViXzAwMDI=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::QVJJVEg=::RmFtaWx5" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::U1VC::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::U1VC::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::dHJ1ZQ==::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MA==::RnJhY3Rpb24=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::NzI5::TFVUcw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_sub" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sub.vhd"] +set_global_assignment -library "fp_sub" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sub/dspba_library_package.vhd"] +set_global_assignment -library "fp_sub" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sub/dspba_library.vhd"] +set_global_assignment -library "fp_sub" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sub/fp_sub_0002.vhd"] + +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_sub.vhd b/system_template_de1_soc/fp_sub.vhd new file mode 100644 index 0000000..0e5606f --- /dev/null +++ b/system_template_de1_soc/fp_sub.vhd @@ -0,0 +1,114 @@ +-- megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% +-- GENERATION: XML +-- fp_sub.vhd + +-- Generated using ACDS version 20.1 720 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity fp_sub is + port ( + clk : in std_logic := '0'; -- clk.clk + areset : in std_logic := '0'; -- areset.reset + en : in std_logic_vector(0 downto 0) := (others => '0'); -- en.en + a : in std_logic_vector(31 downto 0) := (others => '0'); -- a.a + b : in std_logic_vector(31 downto 0) := (others => '0'); -- b.b + q : out std_logic_vector(31 downto 0) -- q.q + ); +end entity fp_sub; + +architecture rtl of fp_sub is + component fp_sub_0002 is + port ( + clk : in std_logic := 'X'; -- clk + areset : in std_logic := 'X'; -- reset + en : in std_logic_vector(0 downto 0) := (others => 'X'); -- en + a : in std_logic_vector(31 downto 0) := (others => 'X'); -- a + b : in std_logic_vector(31 downto 0) := (others => 'X'); -- b + q : out std_logic_vector(31 downto 0) -- q + ); + end component fp_sub_0002; + +begin + + fp_sub_inst : component fp_sub_0002 + port map ( + clk => clk, -- clk.clk + areset => areset, -- areset.reset + en => en, -- en.en + a => a, -- a.a + b => b, -- b.b + q => q -- q.q + ); + +end architecture rtl; -- of fp_sub +-- Retrieval info: +-- +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- IPFS_FILES : fp_sub.vho +-- RELATED_FILES: fp_sub.vhd, dspba_library_package.vhd, dspba_library.vhd, fp_sub_0002.vhd diff --git a/system_template_de1_soc/fp_sub_hw.tcl b/system_template_de1_soc/fp_sub_hw.tcl new file mode 100644 index 0000000..d5d807d --- /dev/null +++ b/system_template_de1_soc/fp_sub_hw.tcl @@ -0,0 +1,73 @@ +# TCL File Generated by Component Editor 20.1 +# Wed Mar 09 17:15:52 GMT 2022 +# DO NOT MODIFY + + +# +# fp_sub "Floating Point Sub" v20.1 +# 2022.03.09.17:15:52 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module fp_sub +# +set_module_property DESCRIPTION "" +set_module_property NAME fp_sub +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Floating Point Sub" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fp_sub +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fp_sub.vhd VHDL PATH fp_sub.vhd TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fp_sub_slave +# +add_interface fp_sub_slave nios_custom_instruction end +set_interface_property fp_sub_slave clockCycle 0 +set_interface_property fp_sub_slave operands 2 +set_interface_property fp_sub_slave ENABLED true +set_interface_property fp_sub_slave EXPORT_OF "" +set_interface_property fp_sub_slave PORT_NAME_MAP "" +set_interface_property fp_sub_slave CMSIS_SVD_VARIABLES "" +set_interface_property fp_sub_slave SVD_ADDRESS_GROUP "" + +add_interface_port fp_sub_slave areset reset Input 1 +add_interface_port fp_sub_slave en clk_en Input 1 +add_interface_port fp_sub_slave a dataa Input 32 +add_interface_port fp_sub_slave b datab Input 32 +add_interface_port fp_sub_slave q result Output 32 +add_interface_port fp_sub_slave clk clk Input 1 + diff --git a/system_template_de1_soc/fp_sum.qip b/system_template_de1_soc/fp_sum.qip new file mode 100644 index 0000000..c0ab2be --- /dev/null +++ b/system_template_de1_soc/fp_sum.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_sum" -name MISC_FILE [file join $::quartus(qip_path) "fp_sum.cmp"] +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_NAME "ZnBfc3Vt" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_NAME "ZnBfc3VtXzAwMDI=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::QVJJVEg=::RmFtaWx5" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::QURE::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::QURE::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::dHJ1ZQ==::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MA==::RnJhY3Rpb24=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::NzI5::TFVUcw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_sum" -name VERILOG_FILE [file join $::quartus(qip_path) "fp_sum.v"] +set_global_assignment -library "fp_sum" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sum/dspba_library_package.vhd"] +set_global_assignment -library "fp_sum" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sum/dspba_library.vhd"] +set_global_assignment -library "fp_sum" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sum/fp_sum_0002.vhd"] + +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_sum_hw.tcl b/system_template_de1_soc/fp_sum_hw.tcl new file mode 100644 index 0000000..c3d4c3f --- /dev/null +++ b/system_template_de1_soc/fp_sum_hw.tcl @@ -0,0 +1,73 @@ +# TCL File Generated by Component Editor 20.1 +# Sun Mar 27 15:35:43 BST 2022 +# DO NOT MODIFY + + +# +# fp_sum "Floating Point Sum" v20.1 +# 2022.03.27.15:35:43 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module fp_sum +# +set_module_property DESCRIPTION "" +set_module_property NAME fp_sum +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Floating Point Sum" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fp_sum +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fp_sum.v VERILOG PATH fp_sum.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fp_sum_slave +# +add_interface fp_sum_slave nios_custom_instruction end +set_interface_property fp_sum_slave clockCycle 0 +set_interface_property fp_sum_slave operands 2 +set_interface_property fp_sum_slave ENABLED true +set_interface_property fp_sum_slave EXPORT_OF "" +set_interface_property fp_sum_slave PORT_NAME_MAP "" +set_interface_property fp_sum_slave CMSIS_SVD_VARIABLES "" +set_interface_property fp_sum_slave SVD_ADDRESS_GROUP "" + +add_interface_port fp_sum_slave areset reset Input 1 +add_interface_port fp_sum_slave en clk_en Input 1 +add_interface_port fp_sum_slave a dataa Input 32 +add_interface_port fp_sum_slave b datab Input 32 +add_interface_port fp_sum_slave q result Output 32 +add_interface_port fp_sum_slave clk clk Input 1 + diff --git a/system_template_de1_soc/fp_to_fix.qip b/system_template_de1_soc/fp_to_fix.qip new file mode 100644 index 0000000..b6f5099 --- /dev/null +++ b/system_template_de1_soc/fp_to_fix.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_to_fix" -name MISC_FILE [file join $::quartus(qip_path) "fp_to_fix.cmp"] +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_NAME "ZnBfdG9fZml4" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_NAME "ZnBfdG9fZml4XzAwMDI=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::Q09OVkVSVA==::RmFtaWx5" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::QURE::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlBfRlhQ::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::RlBfRlhQ::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::MQ==::VGFyZ2V0" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::ZmFsc2U=::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MzA=::RnJhY3Rpb24=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::MQ==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::Y3VzdG9t::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::MjM=::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::MjM=::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::MzA2::TFVUcw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_to_fix" -name VERILOG_FILE [file join $::quartus(qip_path) "fp_to_fix.v"] +set_global_assignment -library "fp_to_fix" -name VHDL_FILE [file join $::quartus(qip_path) "fp_to_fix/dspba_library_package.vhd"] +set_global_assignment -library "fp_to_fix" -name VHDL_FILE [file join $::quartus(qip_path) "fp_to_fix/dspba_library.vhd"] +set_global_assignment -library "fp_to_fix" -name VHDL_FILE [file join $::quartus(qip_path) "fp_to_fix/fp_to_fix_0002.vhd"] + +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fullfunction.bdf b/system_template_de1_soc/fullfunction.bdf new file mode 100644 index 0000000..bc8736d --- /dev/null +++ b/system_template_de1_soc/fullfunction.bdf @@ -0,0 +1,2012 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 16 8 192 24) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "dataa[31..0]" (rect 9 0 68 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 -64 192 -48) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 -40 192 -24) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "reset" (rect 9 0 33 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 -16 192 0) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "en" (rect 9 0 20 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 32 192 48) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "start" (rect 9 0 31 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 920 184 1096 200) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "done" (rect 90 0 113 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 800 -64 976 -48) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result[31..0]" (rect 90 0 149 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 16 56 48 72) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 1040 -64 1072 -48) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 5 32 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 72 200 136 280) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst1" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 72 304 136 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst2" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 248 -64 392 200) + (text "fp_mul" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst4" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 408 336 504 400) + (text "fp_div128" (rect 5 0 54 12)(font "Arial" )) + (text "00_inst5" (rect 8 48 49 60)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 19 80 31)(font "Arial" )) + (line (pt 0 24)(pt 16 24)(line_width 3)) + ) + (port + (pt 96 40) + (output) + (text "result[31..0]" (rect -96 0 -37 12)(font "Arial" )) + (text "result[31..0]" (rect 26 35 85 47)(font "Arial" )) + (line (pt 96 40)(pt 80 40)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 80 48)) + ) +) +(symbol + (rect 248 200 392 464) + (text "fp_sub" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst7" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 448 -64 592 200) + (text "fp_mul" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst8" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 640 -64 784 200) + (text "fp_add" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst9" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 824 -32 888 48) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst11" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 936 232 1080 456) + (text "fix_to_fp" (rect 46 -1 102 15)(font "Arial" (font_size 10))) + (text "inst" (rect 8 208 25 220)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 208 159 220)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 208)) + (line (pt 48 208)(pt 96 208)) + (line (pt 48 32)(pt 48 208)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 224)) + (line (pt 0 224)(pt 144 224)) + (line (pt 0 0)(pt 0 224)) + ) +) +(symbol + (rect 552 232 696 456) + (text "fp_to_fix" (rect 46 -1 102 15)(font "Arial" (font_size 10))) + (text "inst1" (rect 8 208 31 220)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 208 159 220)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 208)) + (line (pt 48 208)(pt 96 208)) + (line (pt 48 32)(pt 48 208)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 224)) + (line (pt 0 224)(pt 144 224)) + (line (pt 0 0)(pt 0 224)) + ) +) +(symbol + (rect 408 408 504 472) + (text "fp_div2" (rect 5 0 42 12)(font "Arial" )) + (text "00_inst6" (rect 8 48 49 60)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 19 80 31)(font "Arial" )) + (line (pt 0 24)(pt 16 24)(line_width 3)) + ) + (port + (pt 96 40) + (output) + (text "result[31..0]" (rect -96 0 -37 12)(font "Arial" )) + (text "result[31..0]" (rect 26 35 85 47)(font "Arial" )) + (line (pt 96 40)(pt 80 40)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 80 48)) + ) +) +(symbol + (rect 72 96 136 176) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst0" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 904 72 968 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst15" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 984 72 1048 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst16" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 904 -32 968 48) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst12" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 824 72 888 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst14" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 984 -32 1048 48) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst13" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 752 232 880 376) + (text "cordic" (rect 5 0 34 12)(font "Arial" )) + (text "00_inst10" (rect 8 128 55 140)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "clk_en" (rect 0 0 31 12)(font "Arial" )) + (text "clk_en" (rect 21 43 52 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "start" (rect 0 0 22 12)(font "Arial" )) + (text "start" (rect 21 59 43 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "reset" (rect 0 0 24 12)(font "Arial" )) + (text "reset" (rect 21 75 45 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 91 80 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "result[31..0]" (rect -64 0 -5 12)(font "Arial" )) + (text "result[31..0]" (rect 58 27 117 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)(line_width 3)) + ) + (port + (pt 128 48) + (output) + (text "done" (rect -64 0 -41 12)(font "Arial" )) + (text "done" (rect 88 43 111 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)) + ) + (parameter + "stages" + "16" + "" + (type "PARAMETER_UNSIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 112 128)) + ) + (annotation_block (parameter)(rect 720 384 880 424)) +) +(symbol + (rect 1072 72 1136 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst17" (rect 3 68 49 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 32 400 176 480) + (text "const128" (rect 5 0 49 12)(font "Arial" )) + (text "inst3" (rect 8 64 30 81)(font "Intel Clear" )) + (port + (pt 144 32) + (output) + (text "result[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "result[31..0]" (rect 74 27 133 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 128 64)) + ) +) +(connector + (pt 392 272) + (pt 400 272) + (bus) +) +(connector + (pt 392 8) + (pt 400 8) + (bus) +) +(connector + (pt 400 8) + (pt 400 128) + (bus) +) +(connector + (pt 400 128) + (pt 448 128) + (bus) +) +(connector + (text "clk" (rect 424 -8 438 9)(font "Intel Clear" )) + (pt 448 8) + (pt 416 8) +) +(connector + (text "reset" (rect 424 32 447 49)(font "Intel Clear" )) + (pt 448 48) + (pt 416 48) +) +(connector + (text "en" (rect 424 72 435 89)(font "Intel Clear" )) + (pt 448 88) + (pt 416 88) +) +(connector + (pt 400 224) + (pt 400 168) + (bus) +) +(connector + (pt 400 168) + (pt 448 168) + (bus) +) +(connector + (text "clk" (rect 216 -8 230 9)(font "Intel Clear" )) + (pt 248 8) + (pt 208 8) +) +(connector + (text "reset" (rect 216 32 239 49)(font "Intel Clear" )) + (pt 248 48) + (pt 208 48) +) +(connector + (text "en" (rect 216 72 227 89)(font "Intel Clear" )) + (pt 248 88) + (pt 208 88) +) +(connector + (text "clk" (rect 216 256 230 273)(font "Intel Clear" )) + (pt 248 272) + (pt 208 272) +) +(connector + (text "reset" (rect 216 296 239 313)(font "Intel Clear" )) + (pt 248 312) + (pt 208 312) +) +(connector + (text "en" (rect 216 336 227 353)(font "Intel Clear" )) + (pt 248 352) + (pt 208 352) +) +(connector + (pt 248 392) + (pt 240 392) + (bus) +) +(connector + (pt 248 168) + (pt 240 168) + (bus) +) +(connector + (pt 240 128) + (pt 248 128) + (bus) +) +(connector + (pt 600 216) + (pt 600 168) + (bus) +) +(connector + (pt 600 168) + (pt 640 168) + (bus) +) +(connector + (text "en" (rect 616 72 627 89)(font "Intel Clear" )) + (pt 640 88) + (pt 608 88) +) +(connector + (text "reset" (rect 616 32 639 49)(font "Intel Clear" )) + (pt 640 48) + (pt 608 48) +) +(connector + (text "clk" (rect 616 -8 630 9)(font "Intel Clear" )) + (pt 640 8) + (pt 608 8) +) +(connector + (pt 888 -8) + (pt 904 -8) +) +(connector + (pt 936 -32) + (pt 936 -40) +) +(connector + (pt 856 -32) + (pt 856 -40) +) +(connector + (pt 936 152) + (pt 936 160) +) +(connector + (pt 856 152) + (pt 856 160) +) +(connector + (pt 856 48) + (pt 856 56) +) +(connector + (pt 936 48) + (pt 936 56) +) +(connector + (pt 856 56) + (pt 856 72) +) +(connector + (pt 936 56) + (pt 936 72) +) +(connector + (pt 856 160) + (pt 936 160) +) +(connector + (pt 888 96) + (pt 904 96) +) +(connector + (pt 904 8) + (pt 896 8) +) +(connector + (pt 904 112) + (pt 896 112) +) +(connector + (pt 896 8) + (pt 896 112) +) +(connector + (pt 896 112) + (pt 896 168) +) +(connector + (pt 592 8) + (pt 600 8) + (bus) +) +(connector + (pt 600 8) + (pt 600 128) + (bus) +) +(connector + (pt 640 128) + (pt 600 128) + (bus) +) +(connector + (text "clk" (rect 192 -72 206 -55)(font "Intel Clear" )) + (pt 192 -56) + (pt 224 -56) +) +(connector + (text "reset" (rect 192 -48 215 -31)(font "Intel Clear" )) + (pt 192 -32) + (pt 224 -32) +) +(connector + (text "en" (rect 192 -24 203 -7)(font "Intel Clear" )) + (pt 192 -8) + (pt 224 -8) +) +(connector + (text "en" (rect 528 368 539 385)(font "Intel Clear" )) + (pt 552 384) + (pt 520 384) +) +(connector + (text "reset" (rect 528 328 551 345)(font "Intel Clear" )) + (pt 552 344) + (pt 520 344) +) +(connector + (text "clk" (rect 528 288 542 305)(font "Intel Clear" )) + (pt 552 304) + (pt 520 304) +) +(connector + (pt 512 424) + (pt 552 424) + (bus) +) +(connector + (pt 696 304) + (pt 704 304) + (bus) +) +(connector + (pt 704 304) + (pt 704 328) + (bus) +) +(connector + (text "clk" (rect 728 248 742 265)(font "Intel Clear" )) + (pt 720 264) + (pt 752 264) +) +(connector + (text "en" (rect 728 264 739 281)(font "Intel Clear" )) + (pt 720 280) + (pt 752 280) +) +(connector + (text "reset" (rect 728 296 751 313)(font "Intel Clear" )) + (pt 720 312) + (pt 752 312) +) +(connector + (pt 704 328) + (pt 752 328) + (bus) +) +(connector + (pt 712 480) + (pt 712 296) +) +(connector + (pt 752 296) + (pt 712 296) +) +(connector + (pt 968 -8) + (pt 984 -8) +) +(connector + (pt 856 -40) + (pt 936 -40) +) +(connector + (pt 1016 -40) + (pt 1016 -32) +) +(connector + (pt 936 -40) + (pt 1016 -40) +) +(connector + (pt 1016 -40) + (pt 1056 -40) +) +(connector + (pt 1016 152) + (pt 1016 160) +) +(connector + (pt 856 56) + (pt 936 56) +) +(connector + (pt 1016 48) + (pt 1016 56) +) +(connector + (pt 1016 56) + (pt 1016 72) +) +(connector + (pt 936 56) + (pt 1016 56) +) +(connector + (pt 1056 -48) + (pt 1056 -40) +) +(connector + (pt 936 160) + (pt 1016 160) +) +(connector + (pt 1056 -40) + (pt 1056 56) +) +(connector + (pt 1056 56) + (pt 1056 160) +) +(connector + (pt 1048 -8) + (pt 1064 -8) +) +(connector + (pt 1064 -8) + (pt 1064 64) +) +(connector + (pt 968 96) + (pt 984 96) +) +(connector + (pt 984 8) + (pt 976 8) +) +(connector + (pt 984 112) + (pt 976 112) +) +(connector + (pt 976 8) + (pt 976 112) +) +(connector + (pt 976 112) + (pt 976 168) +) +(connector + (pt 824 8) + (pt 816 8) +) +(connector + (pt 816 112) + (pt 824 112) +) +(connector + (pt 816 8) + (pt 816 112) +) +(connector + (pt 816 112) + (pt 816 168) +) +(connector + (pt 808 64) + (pt 1064 64) +) +(connector + (pt 808 64) + (pt 808 96) +) +(connector + (pt 824 96) + (pt 808 96) +) +(connector + (pt 240 16) + (pt 192 16) + (bus) +) +(connector + (pt 240 16) + (pt 240 128) + (bus) +) +(connector + (pt 240 128) + (pt 240 168) + (bus) +) +(connector + (pt 200 40) + (pt 192 40) +) +(connector + (pt 64 224) + (pt 72 224) +) +(connector + (pt 72 328) + (pt 64 328) +) +(connector + (pt 136 224) + (pt 144 224) +) +(connector + (text "clk" (rect 48 224 62 241)(font "Intel Clear" )) + (pt 72 240) + (pt 40 240) +) +(connector + (text "clk" (rect 48 328 62 345)(font "Intel Clear" )) + (pt 72 344) + (pt 40 344) +) +(connector + (pt 144 120) + (pt 136 120) +) +(connector + (pt 104 96) + (pt 104 88) +) +(connector + (pt 104 88) + (pt 32 88) +) +(connector + (pt 32 72) + (pt 32 88) +) +(connector + (pt 104 192) + (pt 32 192) +) +(connector + (pt 32 88) + (pt 32 192) +) +(connector + (pt 104 176) + (pt 104 192) +) +(connector + (pt 104 192) + (pt 104 200) +) +(connector + (pt 144 184) + (pt 144 120) +) +(connector + (pt 144 184) + (pt 64 184) +) +(connector + (pt 64 184) + (pt 64 224) +) +(connector + (text "clk" (rect 48 120 62 137)(font "Intel Clear" )) + (pt 72 136) + (pt 40 136) +) +(connector + (pt 200 40) + (pt 200 80) +) +(connector + (pt 200 80) + (pt 64 80) +) +(connector + (pt 64 80) + (pt 64 120) +) +(connector + (pt 64 120) + (pt 72 120) +) +(connector + (pt 64 328) + (pt 64 288) +) +(connector + (pt 144 224) + (pt 144 288) +) +(connector + (pt 64 288) + (pt 144 288) +) +(connector + (pt 104 296) + (pt 32 296) +) +(connector + (pt 104 304) + (pt 104 296) +) +(connector + (pt 104 296) + (pt 104 280) +) +(connector + (pt 32 192) + (pt 32 296) +) +(connector + (pt 32 296) + (pt 32 392) +) +(connector + (pt 104 392) + (pt 32 392) +) +(connector + (pt 104 392) + (pt 104 384) +) +(connector + (pt 800 208) + (pt 888 208) +) +(connector + (pt 888 208) + (pt 888 280) +) +(connector + (pt 880 280) + (pt 888 280) +) +(connector + (pt 880 264) + (pt 896 264) + (bus) +) +(connector + (text "clk" (rect 912 288 926 305)(font "Intel Clear" )) + (pt 904 304) + (pt 936 304) +) +(connector + (text "reset" (rect 912 328 935 345)(font "Intel Clear" )) + (pt 904 344) + (pt 936 344) +) +(connector + (text "en" (rect 912 368 923 385)(font "Intel Clear" )) + (pt 904 384) + (pt 936 384) +) +(connector + (pt 896 424) + (pt 936 424) + (bus) +) +(connector + (pt 896 264) + (pt 896 424) + (bus) +) +(connector + (pt 400 224) + (pt 1088 224) + (bus) +) +(connector + (pt 1088 224) + (pt 1088 304) + (bus) +) +(connector + (pt 1080 304) + (pt 1088 304) + (bus) +) +(connector + (pt 600 216) + (pt 1096 216) + (bus) +) +(connector + (pt 1096 216) + (pt 1096 472) + (bus) +) +(connector + (pt 512 472) + (pt 1096 472) + (bus) +) +(connector + (pt 816 168) + (pt 896 168) +) +(connector + (pt 896 168) + (pt 976 168) +) +(connector + (pt 240 472) + (pt 400 472) + (bus) +) +(connector + (pt 240 168) + (pt 240 392) + (bus) +) +(connector + (pt 240 392) + (pt 240 472) + (bus) +) +(connector + (pt 512 472) + (pt 512 448) + (bus) +) +(connector + (pt 512 448) + (pt 504 448) + (bus) +) +(connector + (pt 400 472) + (pt 400 432) + (bus) +) +(connector + (pt 400 432) + (pt 408 432) + (bus) +) +(connector + (pt 512 376) + (pt 504 376) + (bus) +) +(connector + (pt 512 424) + (pt 512 376) + (bus) +) +(connector + (pt 400 360) + (pt 408 360) + (bus) +) +(connector + (pt 1048 96) + (pt 1072 96) +) +(connector + (pt 1072 112) + (pt 1064 112) +) +(connector + (pt 1064 112) + (pt 1064 168) +) +(connector + (pt 976 168) + (pt 1064 168) +) +(connector + (text "clk" (rect 1072 152 1086 169)(font "Intel Clear" )) + (pt 1064 168) + (pt 1088 168) +) +(connector + (pt 1016 56) + (pt 1056 56) +) +(connector + (pt 1056 56) + (pt 1104 56) +) +(connector + (pt 1104 72) + (pt 1104 56) +) +(connector + (pt 1104 152) + (pt 1104 160) +) +(connector + (pt 1016 160) + (pt 1056 160) +) +(connector + (pt 1056 160) + (pt 1104 160) +) +(connector + (pt 1136 96) + (pt 1144 96) +) +(connector + (pt 1144 96) + (pt 1144 176) +) +(connector + (pt 1144 176) + (pt 816 176) +) +(connector + (pt 816 176) + (pt 816 192) +) +(connector + (pt 816 192) + (pt 920 192) +) +(connector + (pt 800 -8) + (pt 800 208) +) +(connector + (pt 800 -8) + (pt 824 -8) +) +(connector + (pt 784 8) + (pt 792 8) + (bus) +) +(connector + (pt 792 8) + (pt 792 -56) + (bus) +) +(connector + (pt 792 -56) + (pt 800 -56) + (bus) +) +(connector + (pt 400 272) + (pt 400 360) + (bus) +) +(connector + (text "<<__$DEF_ALIAS498>>" (rect 160 408 272 425)(font "Intel Clear" )(invisible)) + (pt 176 432) + (pt 248 432) + (bus) +) +(connector + (pt 136 328) + (pt 200 328) +) +(connector + (pt 200 480) + (pt 712 480) +) +(connector + (pt 200 480) + (pt 200 328) +) +(junction (pt 240 168)) +(junction (pt 240 392)) +(junction (pt 240 128)) +(junction (pt 856 56)) +(junction (pt 936 56)) +(junction (pt 936 -40)) +(junction (pt 936 160)) +(junction (pt 896 112)) +(junction (pt 896 168)) +(junction (pt 1016 -40)) +(junction (pt 1016 160)) +(junction (pt 1016 56)) +(junction (pt 1056 -40)) +(junction (pt 1056 56)) +(junction (pt 976 168)) +(junction (pt 976 112)) +(junction (pt 816 112)) +(junction (pt 32 88)) +(junction (pt 32 192)) +(junction (pt 104 192)) +(junction (pt 104 296)) +(junction (pt 32 296)) +(junction (pt 1064 168)) +(junction (pt 1056 160)) diff --git a/system_template_de1_soc/fullfunction_t.bdf b/system_template_de1_soc/fullfunction_t.bdf new file mode 100644 index 0000000..b3a89a8 --- /dev/null +++ b/system_template_de1_soc/fullfunction_t.bdf @@ -0,0 +1,2032 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 0 -120 176 -104) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "dataa[31..0]" (rect 9 0 68 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 0 -192 176 -176) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 0 -168 176 -152) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "reset" (rect 9 0 33 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 0 -144 176 -128) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "en" (rect 9 0 20 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 0 -96 176 -80) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "start" (rect 9 0 31 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 784 -192 960 -176) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result[31..0]" (rect 90 0 149 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 904 56 1080 72) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "done" (rect 90 0 113 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 0 -72 32 -56) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 1024 -192 1056 -176) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 5 32 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 56 72 120 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst1" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 56 176 120 256) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst2" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 232 -192 376 72) + (text "fp_mul" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst4" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 232 72 376 336) + (text "fp_sub" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst7" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 432 -192 576 72) + (text "fp_mul" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst8" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 624 -192 768 72) + (text "fp_add" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst9" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 808 -160 872 -80) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst11" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 920 104 1064 328) + (text "fix_to_fp" (rect 46 -1 102 15)(font "Arial" (font_size 10))) + (text "inst" (rect 8 208 25 220)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 208 159 220)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 208)) + (line (pt 48 208)(pt 96 208)) + (line (pt 48 32)(pt 48 208)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 224)) + (line (pt 0 224)(pt 144 224)) + (line (pt 0 0)(pt 0 224)) + ) +) +(symbol + (rect 536 104 680 328) + (text "fp_to_fix" (rect 46 -1 102 15)(font "Arial" (font_size 10))) + (text "inst1" (rect 8 208 31 220)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 208 159 220)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 208)) + (line (pt 48 208)(pt 96 208)) + (line (pt 48 32)(pt 48 208)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 224)) + (line (pt 0 224)(pt 144 224)) + (line (pt 0 0)(pt 0 224)) + ) +) +(symbol + (rect 56 -32 120 48) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst0" (rect 3 68 43 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 888 -56 952 24) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst15" (rect 3 68 49 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 968 -56 1032 24) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst16" (rect 3 68 49 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 888 -160 952 -80) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst12" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 808 -56 872 24) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst14" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 968 -160 1032 -80) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst13" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 736 104 864 216) + (text "cordic_t" (rect 5 0 43 12)(font "Arial" )) + (text "00_inst10" (rect 8 96 55 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "clk_en" (rect 0 0 31 12)(font "Arial" )) + (text "clk_en" (rect 21 43 52 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "reset" (rect 0 0 24 12)(font "Arial" )) + (text "reset" (rect 21 59 45 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 75 80 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "result[31..0]" (rect -64 0 -5 12)(font "Arial" )) + (text "result[31..0]" (rect 58 27 117 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 112 96)) + ) +) +(symbol + (rect 392 280 488 344) + (text "fp_div2" (rect 5 0 42 12)(font "Arial" )) + (text "00_inst6" (rect 8 48 49 60)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 19 80 31)(font "Arial" )) + (line (pt 0 24)(pt 16 24)(line_width 3)) + ) + (port + (pt 96 40) + (output) + (text "result[31..0]" (rect -96 0 -37 12)(font "Arial" )) + (text "result[31..0]" (rect 26 35 85 47)(font "Arial" )) + (line (pt 96 40)(pt 80 40)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 80 48)) + ) +) +(symbol + (rect 392 208 488 272) + (text "fp_div128" (rect 5 0 54 12)(font "Arial" )) + (text "00_inst5" (rect 8 48 49 60)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 19 80 31)(font "Arial" )) + (line (pt 0 24)(pt 16 24)(line_width 3)) + ) + (port + (pt 96 40) + (output) + (text "result[31..0]" (rect -96 0 -37 12)(font "Arial" )) + (text "result[31..0]" (rect 26 35 85 47)(font "Arial" )) + (line (pt 96 40)(pt 80 40)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 80 48)) + ) +) +(symbol + (rect 16 272 160 352) + (text "const128" (rect 5 0 49 12)(font "Arial" )) + (text "inst4" (rect 8 64 30 81)(font "Intel Clear" )) + (port + (pt 144 32) + (output) + (text "result[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "result[31..0]" (rect 74 27 133 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 128 64)) + ) +) +(symbol + (rect 680 200 712 216) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 5 25 22)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 744 232 808 312) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst17" (rect 3 68 49 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(connector + (pt 376 -120) + (pt 384 -120) + (bus) +) +(connector + (pt 384 -120) + (pt 384 0) + (bus) +) +(connector + (pt 384 0) + (pt 432 0) + (bus) +) +(connector + (text "clk" (rect 408 -136 422 -119)(font "Intel Clear" )) + (pt 432 -120) + (pt 400 -120) +) +(connector + (text "reset" (rect 408 -96 431 -79)(font "Intel Clear" )) + (pt 432 -80) + (pt 400 -80) +) +(connector + (text "en" (rect 408 -56 419 -39)(font "Intel Clear" )) + (pt 432 -40) + (pt 400 -40) +) +(connector + (pt 384 96) + (pt 384 40) + (bus) +) +(connector + (pt 384 40) + (pt 432 40) + (bus) +) +(connector + (text "clk" (rect 200 -136 214 -119)(font "Intel Clear" )) + (pt 232 -120) + (pt 192 -120) +) +(connector + (text "reset" (rect 200 -96 223 -79)(font "Intel Clear" )) + (pt 232 -80) + (pt 192 -80) +) +(connector + (text "en" (rect 200 -56 211 -39)(font "Intel Clear" )) + (pt 232 -40) + (pt 192 -40) +) +(connector + (text "clk" (rect 200 128 214 145)(font "Intel Clear" )) + (pt 232 144) + (pt 192 144) +) +(connector + (text "reset" (rect 200 168 223 185)(font "Intel Clear" )) + (pt 232 184) + (pt 192 184) +) +(connector + (text "en" (rect 200 208 211 225)(font "Intel Clear" )) + (pt 232 224) + (pt 192 224) +) +(connector + (pt 232 264) + (pt 224 264) + (bus) +) +(connector + (pt 232 40) + (pt 224 40) + (bus) +) +(connector + (pt 224 0) + (pt 232 0) + (bus) +) +(connector + (pt 584 88) + (pt 584 40) + (bus) +) +(connector + (pt 584 40) + (pt 624 40) + (bus) +) +(connector + (text "en" (rect 600 -56 611 -39)(font "Intel Clear" )) + (pt 624 -40) + (pt 592 -40) +) +(connector + (text "reset" (rect 600 -96 623 -79)(font "Intel Clear" )) + (pt 624 -80) + (pt 592 -80) +) +(connector + (text "clk" (rect 600 -136 614 -119)(font "Intel Clear" )) + (pt 624 -120) + (pt 592 -120) +) +(connector + (pt 768 -120) + (pt 776 -120) + (bus) +) +(connector + (pt 776 -120) + (pt 776 -184) + (bus) +) +(connector + (pt 776 -184) + (pt 784 -184) + (bus) +) +(connector + (pt 872 -136) + (pt 888 -136) +) +(connector + (pt 920 -160) + (pt 920 -168) +) +(connector + (pt 840 -160) + (pt 840 -168) +) +(connector + (pt 784 80) + (pt 784 -136) +) +(connector + (pt 808 -136) + (pt 784 -136) +) +(connector + (pt 920 24) + (pt 920 32) +) +(connector + (pt 840 24) + (pt 840 32) +) +(connector + (pt 872 -32) + (pt 888 -32) +) +(connector + (pt 888 -120) + (pt 880 -120) +) +(connector + (pt 888 -16) + (pt 880 -16) +) +(connector + (pt 576 -120) + (pt 584 -120) + (bus) +) +(connector + (pt 584 -120) + (pt 584 0) + (bus) +) +(connector + (pt 624 0) + (pt 584 0) + (bus) +) +(connector + (text "clk" (rect 176 -200 190 -183)(font "Intel Clear" )) + (pt 176 -184) + (pt 208 -184) +) +(connector + (text "reset" (rect 176 -176 199 -159)(font "Intel Clear" )) + (pt 176 -160) + (pt 208 -160) +) +(connector + (text "en" (rect 176 -152 187 -135)(font "Intel Clear" )) + (pt 176 -136) + (pt 208 -136) +) +(connector + (text "en" (rect 512 240 523 257)(font "Intel Clear" )) + (pt 536 256) + (pt 504 256) +) +(connector + (text "reset" (rect 512 200 535 217)(font "Intel Clear" )) + (pt 536 216) + (pt 504 216) +) +(connector + (text "clk" (rect 512 160 526 177)(font "Intel Clear" )) + (pt 536 176) + (pt 504 176) +) +(connector + (pt 496 296) + (pt 536 296) + (bus) +) +(connector + (pt 680 176) + (pt 688 176) + (bus) +) +(connector + (text "clk" (rect 712 120 726 137)(font "Intel Clear" )) + (pt 704 136) + (pt 736 136) +) +(connector + (text "en" (rect 712 136 723 153)(font "Intel Clear" )) + (pt 704 152) + (pt 736 152) +) +(connector + (pt 952 -136) + (pt 968 -136) +) +(connector + (pt 1000 -168) + (pt 1000 -160) +) +(connector + (pt 1000 24) + (pt 1000 32) +) +(connector + (pt 1032 -136) + (pt 1048 -136) +) +(connector + (pt 1048 -136) + (pt 1048 -64) +) +(connector + (pt 952 -32) + (pt 968 -32) +) +(connector + (pt 1032 -32) + (pt 1048 -32) +) +(connector + (pt 1048 -32) + (pt 1048 48) +) +(connector + (pt 1048 48) + (pt 792 48) +) +(connector + (pt 968 -120) + (pt 960 -120) +) +(connector + (pt 968 -16) + (pt 960 -16) +) +(connector + (pt 808 -120) + (pt 800 -120) +) +(connector + (pt 800 -16) + (pt 808 -16) +) +(connector + (pt 792 -64) + (pt 1048 -64) +) +(connector + (pt 792 -64) + (pt 792 -32) +) +(connector + (pt 808 -32) + (pt 792 -32) +) +(connector + (pt 224 -112) + (pt 176 -112) + (bus) +) +(connector + (pt 184 -88) + (pt 176 -88) +) +(connector + (pt 48 96) + (pt 56 96) +) +(connector + (pt 56 200) + (pt 48 200) +) +(connector + (pt 120 96) + (pt 128 96) +) +(connector + (text "clk" (rect 32 96 46 113)(font "Intel Clear" )) + (pt 56 112) + (pt 24 112) +) +(connector + (text "clk" (rect 32 200 46 217)(font "Intel Clear" )) + (pt 56 216) + (pt 24 216) +) +(connector + (pt 128 -8) + (pt 120 -8) +) +(connector + (pt 88 -32) + (pt 88 -40) +) +(connector + (pt 88 -40) + (pt 16 -40) +) +(connector + (pt 88 64) + (pt 16 64) +) +(connector + (pt 128 56) + (pt 128 -8) +) +(connector + (pt 128 56) + (pt 48 56) +) +(connector + (pt 48 56) + (pt 48 96) +) +(connector + (text "clk" (rect 32 -8 46 9)(font "Intel Clear" )) + (pt 56 8) + (pt 24 8) +) +(connector + (pt 184 -88) + (pt 184 -48) +) +(connector + (pt 184 -48) + (pt 48 -48) +) +(connector + (pt 48 -48) + (pt 48 -8) +) +(connector + (pt 48 -8) + (pt 56 -8) +) +(connector + (pt 48 200) + (pt 48 160) +) +(connector + (pt 128 96) + (pt 128 160) +) +(connector + (pt 48 160) + (pt 128 160) +) +(connector + (pt 88 168) + (pt 16 168) +) +(connector + (pt 88 264) + (pt 16 264) +) +(connector + (pt 88 264) + (pt 88 256) +) +(connector + (pt 880 -120) + (pt 880 -16) +) +(connector + (pt 880 -16) + (pt 880 40) +) +(connector + (pt 840 -168) + (pt 920 -168) +) +(connector + (pt 920 -168) + (pt 1000 -168) +) +(connector + (pt 1000 -168) + (pt 1040 -168) +) +(connector + (pt 840 -80) + (pt 840 -72) +) +(connector + (pt 840 -72) + (pt 840 -56) +) +(connector + (pt 920 -80) + (pt 920 -72) +) +(connector + (pt 920 -72) + (pt 920 -56) +) +(connector + (pt 1000 -80) + (pt 1000 -72) +) +(connector + (pt 1000 -72) + (pt 1000 -56) +) +(connector + (pt 840 -72) + (pt 920 -72) +) +(connector + (pt 920 -72) + (pt 1000 -72) +) +(connector + (pt 1000 -72) + (pt 1040 -72) +) +(connector + (pt 840 32) + (pt 920 32) +) +(connector + (pt 920 32) + (pt 1000 32) +) +(connector + (pt 1000 32) + (pt 1040 32) +) +(connector + (pt 1040 -176) + (pt 1040 -168) +) +(connector + (pt 1040 -168) + (pt 1040 -72) +) +(connector + (pt 1040 -72) + (pt 1040 32) +) +(connector + (pt 960 -120) + (pt 960 -16) +) +(connector + (pt 960 -16) + (pt 960 40) +) +(connector + (pt 800 -120) + (pt 800 -16) +) +(connector + (pt 800 -16) + (pt 800 40) +) +(connector + (pt 224 -112) + (pt 224 0) + (bus) +) +(connector + (pt 224 0) + (pt 224 40) + (bus) +) +(connector + (pt 224 40) + (pt 224 264) + (bus) +) +(connector + (pt 224 264) + (pt 224 344) + (bus) +) +(connector + (pt 88 48) + (pt 88 64) +) +(connector + (pt 88 64) + (pt 88 72) +) +(connector + (pt 88 152) + (pt 88 168) +) +(connector + (pt 88 168) + (pt 88 176) +) +(connector + (pt 16 -56) + (pt 16 -40) +) +(connector + (pt 16 -40) + (pt 16 64) +) +(connector + (pt 16 64) + (pt 16 168) +) +(connector + (pt 16 168) + (pt 16 264) +) +(connector + (text "reset" (rect 712 152 735 169)(font "Intel Clear" )) + (pt 704 168) + (pt 736 168) +) +(connector + (pt 688 176) + (pt 688 184) + (bus) +) +(connector + (pt 688 184) + (pt 736 184) + (bus) +) +(connector + (pt 864 136) + (pt 872 136) + (bus) +) +(connector + (text "clk" (rect 896 160 910 177)(font "Intel Clear" )) + (pt 888 176) + (pt 920 176) +) +(connector + (text "reset" (rect 896 200 919 217)(font "Intel Clear" )) + (pt 888 216) + (pt 920 216) +) +(connector + (text "en" (rect 896 240 907 257)(font "Intel Clear" )) + (pt 888 256) + (pt 920 256) +) +(connector + (pt 872 296) + (pt 920 296) + (bus) +) +(connector + (pt 872 136) + (pt 872 296) + (bus) +) +(connector + (pt 384 96) + (pt 1072 96) + (bus) +) +(connector + (pt 1072 96) + (pt 1072 176) + (bus) +) +(connector + (pt 1064 176) + (pt 1072 176) + (bus) +) +(connector + (pt 584 88) + (pt 1080 88) + (bus) +) +(connector + (pt 1080 88) + (pt 1080 344) + (bus) +) +(connector + (pt 496 344) + (pt 1080 344) + (bus) +) +(connector + (pt 800 40) + (pt 880 40) +) +(connector + (pt 880 40) + (pt 960 40) +) +(connector + (text "clk" (rect 1056 24 1070 41)(font "Intel Clear" )) + (pt 960 40) + (pt 1072 40) +) +(connector + (pt 792 48) + (pt 792 64) +) +(connector + (pt 792 64) + (pt 904 64) +) +(connector + (pt 880 80) + (pt 784 80) +) +(connector + (pt 488 320) + (pt 496 320) + (bus) +) +(connector + (pt 496 320) + (pt 496 344) + (bus) +) +(connector + (pt 392 304) + (pt 384 304) + (bus) +) +(connector + (pt 384 304) + (pt 384 344) + (bus) +) +(connector + (pt 224 344) + (pt 384 344) + (bus) +) +(connector + (pt 488 248) + (pt 496 248) + (bus) +) +(connector + (pt 496 248) + (pt 496 296) + (bus) +) +(connector + (pt 392 232) + (pt 384 232) + (bus) +) +(connector + (pt 384 232) + (pt 384 144) + (bus) +) +(connector + (pt 376 144) + (pt 384 144) + (bus) +) +(connector + (pt 232 304) + (pt 160 304) + (bus) +) +(connector + (pt 120 200) + (pt 216 200) +) +(connector + (pt 216 200) + (pt 216 352) +) +(connector + (pt 688 352) + (pt 216 352) +) +(connector + (pt 776 224) + (pt 776 232) +) +(connector + (pt 688 352) + (pt 688 256) +) +(connector + (pt 776 328) + (pt 776 312) +) +(connector + (pt 880 80) + (pt 880 256) +) +(connector + (pt 696 224) + (pt 776 224) +) +(connector + (pt 696 328) + (pt 776 328) +) +(connector + (pt 880 256) + (pt 808 256) +) +(connector + (pt 696 216) + (pt 696 224) +) +(connector + (pt 696 224) + (pt 696 328) +) +(connector + (pt 688 256) + (pt 744 256) +) +(connector + (text "clk" (rect 723 256 737 273)(font "Intel Clear" )) + (pt 744 272) + (pt 720 272) +) +(junction (pt 224 264)) +(junction (pt 880 -16)) +(junction (pt 920 -168)) +(junction (pt 1000 -168)) +(junction (pt 840 -72)) +(junction (pt 920 -72)) +(junction (pt 1000 -72)) +(junction (pt 920 32)) +(junction (pt 1000 32)) +(junction (pt 1040 -168)) +(junction (pt 1040 -72)) +(junction (pt 960 -16)) +(junction (pt 880 40)) +(junction (pt 960 40)) +(junction (pt 800 -16)) +(junction (pt 224 0)) +(junction (pt 224 40)) +(junction (pt 16 -40)) +(junction (pt 88 64)) +(junction (pt 88 168)) +(junction (pt 16 64)) +(junction (pt 16 168)) +(junction (pt 696 224)) diff --git a/system_template_de1_soc/hello_world.bdf b/system_template_de1_soc/hello_world.bdf new file mode 100644 index 0000000..086d613 --- /dev/null +++ b/system_template_de1_soc/hello_world.bdf @@ -0,0 +1,514 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect -24 8 152 24) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "iCLK_50" (rect 9 0 50 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -24 24 40 40)) +) +(pin + (output) + (rect 160 112 336 128) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oLEDG[7..0]" (rect 25 0 86 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 160 128 224 144)) +) +(pin + (output) + (rect 152 208 336 224) + (text "OUTPUT" (rect 145 0 183 10)(font "Arial" (font_size 6))) + (text "oDRAM_BA[1..0] " (rect 5 0 92 12)(font "Arial" )) + (pt 184 8) + (drawing + (line (pt 184 8)(pt 132 8)) + (line (pt 132 4)(pt 106 4)) + (line (pt 132 12)(pt 106 12)) + (line (pt 132 12)(pt 132 4)) + (line (pt 106 4)(pt 102 8)) + (line (pt 102 8)(pt 106 12)) + (line (pt 106 12)(pt 102 8)) + ) + (flipy) + (annotation_block (location)(rect 80 224 144 240)) +) +(pin + (output) + (rect 160 224 336 240) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_CAS_N" (rect 5 0 85 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 240 144 256)) +) +(pin + (output) + (rect 160 240 336 256) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_CKE" (rect 5 0 71 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 256 144 272)) +) +(pin + (output) + (rect 160 256 336 272) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_CS_N" (rect 5 0 78 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 272 136 288)) +) +(pin + (output) + (rect 160 304 336 320) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_RAS_N" (rect 5 0 85 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 320 136 336)) +) +(pin + (output) + (rect 160 320 336 336) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_WE_N" (rect 5 0 80 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 336 136 352)) +) +(pin + (output) + (rect 144 88 320 104) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_CLK" (rect 5 0 69 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 88 128 152 144)) +) +(pin + (output) + (rect 152 192 336 208) + (text "OUTPUT" (rect 145 0 183 10)(font "Arial" (font_size 6))) + (text "oDRAM_A[11..0] " (rect 5 0 91 12)(font "Arial" )) + (pt 184 8) + (drawing + (line (pt 184 8)(pt 132 8)) + (line (pt 132 4)(pt 106 4)) + (line (pt 132 12)(pt 106 12)) + (line (pt 132 12)(pt 132 4)) + (line (pt 106 4)(pt 102 8)) + (line (pt 102 8)(pt 106 12)) + (line (pt 106 12)(pt 102 8)) + ) + (flipy) + (annotation_block (location)(rect 80 208 144 224)) +) +(pin + (output) + (rect 144 288 336 304) + (text "OUTPUT" (rect 153 0 191 10)(font "Arial" (font_size 6))) + (text "oDRAM_DQM[1..0]" (rect 5 0 99 12)(font "Arial" )) + (pt 192 8) + (drawing + (line (pt 192 8)(pt 140 8)) + (line (pt 140 4)(pt 114 4)) + (line (pt 140 12)(pt 114 12)) + (line (pt 140 12)(pt 140 4)) + (line (pt 114 4)(pt 110 8)) + (line (pt 110 8)(pt 114 12)) + (line (pt 114 12)(pt 110 8)) + ) + (flipy) + (annotation_block (location)(rect 8 296 72 336)) +) +(pin + (bidir) + (rect 152 272 336 288) + (text "BIDIR" (rect 159 0 183 10)(font "Arial" (font_size 6))) + (text "DRAM_DQ[15..0] " (rect 5 0 94 12)(font "Arial" )) + (pt 184 8) + (drawing + (line (pt 128 4)(pt 106 4)) + (line (pt 184 8)(pt 132 8)) + (line (pt 128 12)(pt 106 12)) + (line (pt 106 4)(pt 102 8)) + (line (pt 106 12)(pt 102 8)) + (line (pt 128 4)(pt 132 8)) + (line (pt 132 8)(pt 128 12)) + ) + (flipy) + (text "VCC" (rect 160 7 180 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 80 288 144 304)) +) +(symbol + (rect 312 144 344 160) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 5 25 22)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 160 -56 320 88) + (text "pll" (rect 74 -1 89 15)(font "Arial" (font_size 10))) + (text "inst2" (rect 8 128 30 145)(font "Intel Clear" )) + (port + (pt 0 72) + (input) + (text "refclk" (rect 0 0 31 14)(font "Arial" (font_size 8))) + (text "refclk" (rect 4 61 35 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "rst" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "rst" (rect 4 101 19 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 160 72) + (output) + (text "outclk_0" (rect 0 0 47 14)(font "Arial" (font_size 8))) + (text "outclk_0" (rect 117 61 164 75)(font "Arial" (font_size 8))) + (line (pt 160 72)(pt 112 72)) + ) + (port + (pt 160 112) + (output) + (text "outclk_1" (rect 0 0 47 14)(font "Arial" (font_size 8))) + (text "outclk_1" (rect 119 101 166 115)(font "Arial" (font_size 8))) + (line (pt 160 112)(pt 112 112)) + ) + (drawing + (text "refclk" (rect 16 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "reset" (rect 19 83 52 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "outclk0" (rect 113 43 159 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 97 67 111 79)(font "Arial" (color 0 0 0))) + (text "outclk1" (rect 113 83 159 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 97 107 111 119)(font "Arial" (color 0 0 0))) + (text " altera_pll " (rect 118 128 168 140)(font "Arial" )) + (line (pt 48 32)(pt 112 32)) + (line (pt 112 32)(pt 112 128)) + (line (pt 48 128)(pt 112 128)) + (line (pt 48 32)(pt 48 128)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 111 52)(pt 111 76)) + (line (pt 110 52)(pt 110 76)) + (line (pt 111 92)(pt 111 116)) + (line (pt 110 92)(pt 110 116)) + (line (pt 0 0)(pt 160 0)) + (line (pt 160 0)(pt 160 144)) + (line (pt 0 144)(pt 160 144)) + (line (pt 0 0)(pt 0 144)) + ) +) +(symbol + (rect 344 8 856 360) + (text "first_nios2_system" (rect 200 -1 328 15)(font "Arial" (font_size 10))) + (text "inst" (rect 8 336 25 348)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk_clk" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "clk_clk" (rect 4 61 41 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 224 72)) + ) + (port + (pt 0 152) + (input) + (text "reset_reset_n" (rect 0 0 80 14)(font "Arial" (font_size 8))) + (text "reset_reset_n" (rect 4 141 84 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 224 152)) + ) + (port + (pt 0 112) + (output) + (text "led_pio_external_connection_export[7..0]" (rect 0 0 234 14)(font "Arial" (font_size 8))) + (text "led_pio_external_connection_export[7..0]" (rect 4 101 238 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 224 112)(line_width 3)) + ) + (port + (pt 0 192) + (output) + (text "sdram_wire_addr[11..0]" (rect 0 0 136 14)(font "Arial" (font_size 8))) + (text "sdram_wire_addr[11..0]" (rect 4 181 140 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 224 192)(line_width 3)) + ) + (port + (pt 0 208) + (output) + (text "sdram_wire_ba[1..0]" (rect 0 0 118 14)(font "Arial" (font_size 8))) + (text "sdram_wire_ba[1..0]" (rect 4 197 122 211)(font "Arial" (font_size 8))) + (line (pt 0 208)(pt 224 208)(line_width 3)) + ) + (port + (pt 0 224) + (output) + (text "sdram_wire_cas_n" (rect 0 0 110 14)(font "Arial" (font_size 8))) + (text "sdram_wire_cas_n" (rect 4 213 114 227)(font "Arial" (font_size 8))) + (line (pt 0 224)(pt 224 224)) + ) + (port + (pt 0 240) + (output) + (text "sdram_wire_cke" (rect 0 0 95 14)(font "Arial" (font_size 8))) + (text "sdram_wire_cke" (rect 4 229 99 243)(font "Arial" (font_size 8))) + (line (pt 0 240)(pt 224 240)) + ) + (port + (pt 0 256) + (output) + (text "sdram_wire_cs_n" (rect 0 0 103 14)(font "Arial" (font_size 8))) + (text "sdram_wire_cs_n" (rect 4 245 107 259)(font "Arial" (font_size 8))) + (line (pt 0 256)(pt 224 256)) + ) + (port + (pt 0 288) + (output) + (text "sdram_wire_dqm[1..0]" (rect 0 0 127 14)(font "Arial" (font_size 8))) + (text "sdram_wire_dqm[1..0]" (rect 4 277 131 291)(font "Arial" (font_size 8))) + (line (pt 0 288)(pt 224 288)(line_width 3)) + ) + (port + (pt 0 304) + (output) + (text "sdram_wire_ras_n" (rect 0 0 108 14)(font "Arial" (font_size 8))) + (text "sdram_wire_ras_n" (rect 4 293 112 307)(font "Arial" (font_size 8))) + (line (pt 0 304)(pt 224 304)) + ) + (port + (pt 0 320) + (output) + (text "sdram_wire_we_n" (rect 0 0 108 14)(font "Arial" (font_size 8))) + (text "sdram_wire_we_n" (rect 4 309 112 323)(font "Arial" (font_size 8))) + (line (pt 0 320)(pt 224 320)) + ) + (port + (pt 0 272) + (bidir) + (text "sdram_wire_dq[15..0]" (rect 0 0 125 14)(font "Arial" (font_size 8))) + (text "sdram_wire_dq[15..0]" (rect 4 261 129 275)(font "Arial" (font_size 8))) + (line (pt 0 272)(pt 224 272)(line_width 3)) + ) + (drawing + (text "clk" (rect 209 43 226 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 229 67 243 79)(font "Arial" (color 0 0 0))) + (text "led_pio_external_connection" (rect 60 83 246 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 229 107 259 119)(font "Arial" (color 0 0 0))) + (text "reset" (rect 195 123 228 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset_n" (rect 229 147 265 159)(font "Arial" (color 0 0 0))) + (text "sdram_wire" (rect 155 163 232 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "addr" (rect 229 187 250 199)(font "Arial" (color 0 0 0))) + (text "ba" (rect 229 203 240 215)(font "Arial" (color 0 0 0))) + (text "cas_n" (rect 229 219 258 231)(font "Arial" (color 0 0 0))) + (text "cke" (rect 229 235 246 247)(font "Arial" (color 0 0 0))) + (text "cs_n" (rect 229 251 252 263)(font "Arial" (color 0 0 0))) + (text "dq" (rect 229 267 240 279)(font "Arial" (color 0 0 0))) + (text "dqm" (rect 229 283 250 295)(font "Arial" (color 0 0 0))) + (text "ras_n" (rect 229 299 256 311)(font "Arial" (color 0 0 0))) + (text "we_n" (rect 229 315 253 327)(font "Arial" (color 0 0 0))) + (text " first_nios2_system " (rect 425 336 527 348)(font "Arial" )) + (line (pt 224 32)(pt 288 32)) + (line (pt 288 32)(pt 288 336)) + (line (pt 224 336)(pt 288 336)) + (line (pt 224 32)(pt 224 336)) + (line (pt 225 52)(pt 225 76)) + (line (pt 226 52)(pt 226 76)) + (line (pt 225 92)(pt 225 116)) + (line (pt 226 92)(pt 226 116)) + (line (pt 225 132)(pt 225 156)) + (line (pt 226 132)(pt 226 156)) + (line (pt 225 172)(pt 225 324)) + (line (pt 226 172)(pt 226 324)) + (line (pt 0 0)(pt 512 0)) + (line (pt 512 0)(pt 512 352)) + (line (pt 0 352)(pt 512 352)) + (line (pt 0 0)(pt 0 352)) + ) +) +(connector + (pt 344 120) + (pt 336 120) + (bus) +) +(connector + (pt 344 160) + (pt 328 160) +) +(connector + (pt 344 200) + (pt 336 200) + (bus) +) +(connector + (pt 344 216) + (pt 336 216) + (bus) +) +(connector + (pt 344 232) + (pt 336 232) +) +(connector + (pt 344 248) + (pt 336 248) +) +(connector + (pt 344 264) + (pt 336 264) +) +(connector + (pt 344 280) + (pt 336 280) + (bus) +) +(connector + (pt 344 312) + (pt 336 312) +) +(connector + (pt 344 328) + (pt 336 328) +) +(connector + (pt 344 296) + (pt 336 296) + (bus) +) +(connector + (pt 344 80) + (pt 336 80) +) +(connector + (pt 328 96) + (pt 320 96) +) +(connector + (pt 336 80) + (pt 336 16) +) +(connector + (pt 336 16) + (pt 320 16) +) +(connector + (pt 328 56) + (pt 320 56) +) +(connector + (pt 328 96) + (pt 328 56) +) +(connector + (pt 160 16) + (pt 152 16) +) diff --git a/system_template_de1_soc/hello_world.qpf b/system_template_de1_soc/hello_world.qpf new file mode 100644 index 0000000..07220ee --- /dev/null +++ b/system_template_de1_soc/hello_world.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2012 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 12.0 Build 178 05/31/2012 SJ Full Version +# Date created = 12:12:58 November 25, 2012 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "12.0" +DATE = "12:12:58 November 25, 2012" + +# Revisions + +PROJECT_REVISION = "hello_world" diff --git a/system_template_de1_soc/hello_world.qsf b/system_template_de1_soc/hello_world.qsf new file mode 100644 index 0000000..0644ee5 --- /dev/null +++ b/system_template_de1_soc/hello_world.qsf @@ -0,0 +1,142 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2012 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 12.0 Build 178 05/31/2012 SJ Full Version +# Date created = 12:12:59 November 25, 2012 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# hello_world_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name DEVICE 5CSEMA5F31C6 +set_global_assignment -name TOP_LEVEL_ENTITY hello_world +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:12:59 NOVEMBER 25, 2012" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING ON +set_global_assignment -name BOARD "DE1-SoC Board" + +set_location_assignment PIN_AF14 -to iCLK_50 +set_location_assignment PIN_AK6 -to DRAM_DQ[0] +set_location_assignment PIN_AJ7 -to DRAM_DQ[1] +set_location_assignment PIN_AJ9 -to DRAM_DQ[10] +set_location_assignment PIN_AH9 -to DRAM_DQ[11] +set_location_assignment PIN_AH8 -to DRAM_DQ[12] +set_location_assignment PIN_AH7 -to DRAM_DQ[13] +set_location_assignment PIN_AJ6 -to DRAM_DQ[14] +set_location_assignment PIN_AJ5 -to DRAM_DQ[15] +set_location_assignment PIN_AK7 -to DRAM_DQ[2] +set_location_assignment PIN_AK8 -to DRAM_DQ[3] +set_location_assignment PIN_AK9 -to DRAM_DQ[4] +set_location_assignment PIN_AG10 -to DRAM_DQ[5] +set_location_assignment PIN_AK11 -to DRAM_DQ[6] +set_location_assignment PIN_AJ11 -to DRAM_DQ[7] +set_location_assignment PIN_AH10 -to DRAM_DQ[8] +set_location_assignment PIN_AJ10 -to DRAM_DQ[9] + +set_location_assignment PIN_V16 -to oLEDG[0] +set_location_assignment PIN_W16 -to oLEDG[1] +set_location_assignment PIN_V17 -to oLEDG[2] +set_location_assignment PIN_V18 -to oLEDG[3] +set_location_assignment PIN_W17 -to oLEDG[4] +set_location_assignment PIN_W19 -to oLEDG[5] +set_location_assignment PIN_Y19 -to oLEDG[6] +set_location_assignment PIN_W20 -to oLEDG[7] + +set_location_assignment PIN_AJ12 -to oDRAM_BA[1] +set_location_assignment PIN_AF13 -to oDRAM_BA[0] +set_location_assignment PIN_AF11 -to oDRAM_CAS_N +set_location_assignment PIN_AK13 -to oDRAM_CKE +set_location_assignment PIN_AH12 -to oDRAM_CLK +set_location_assignment PIN_AG11 -to oDRAM_CS_N +set_location_assignment PIN_AE13 -to oDRAM_RAS_N +set_location_assignment PIN_AA13 -to oDRAM_WE_N +set_location_assignment PIN_AJ14 -to oDRAM_A[12] +set_location_assignment PIN_AH13 -to oDRAM_A[11] +set_location_assignment PIN_AG12 -to oDRAM_A[10] +set_location_assignment PIN_AG13 -to oDRAM_A[9] +set_location_assignment PIN_AH15 -to oDRAM_A[8] +set_location_assignment PIN_AF15 -to oDRAM_A[7] +set_location_assignment PIN_AD14 -to oDRAM_A[6] +set_location_assignment PIN_AC14 -to oDRAM_A[5] +set_location_assignment PIN_AB15 -to oDRAM_A[4] +set_location_assignment PIN_AE14 -to oDRAM_A[3] +set_location_assignment PIN_AG15 -to oDRAM_A[2] +set_location_assignment PIN_AH14 -to oDRAM_A[1] +set_location_assignment PIN_AK14 -to oDRAM_A[0] +set_location_assignment PIN_AK12 -to oDRAM_DQM[1] +set_location_assignment PIN_AB13 -to oDRAM_DQM[0] + +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name SEARCH_PATH "c:\\users\\suple\\desktop\\dsd-cw\\system_template_de1_soc" +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan +set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id adder_tb +set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME adder_tb -section_id adder_tb +set_global_assignment -name EDA_TEST_BENCH_FILE adder_tb.sv -section_id adder_tb +set_global_assignment -name BDF_FILE fullfunction.bdf +set_global_assignment -name BDF_FILE fullfunction_t.bdf +set_global_assignment -name VERILOG_FILE fp_div2.v +set_global_assignment -name VERILOG_FILE fp_div128.v +set_global_assignment -name VERILOG_FILE cordic.v +set_global_assignment -name VERILOG_FILE cordic_t.v +set_global_assignment -name QSYS_FILE first_nios2_system.qsys +set_global_assignment -name SDC_FILE hw_dev_tutorial.sdc +set_global_assignment -name BDF_FILE hello_world.bdf +set_global_assignment -name QIP_FILE pll.qip +set_global_assignment -name SIP_FILE pll.sip +set_global_assignment -name QIP_FILE fp_add.qip +set_global_assignment -name QIP_FILE fp_sub.qip +set_global_assignment -name SIP_FILE fp_sub.sip +set_global_assignment -name QIP_FILE fp_mul.qip +set_global_assignment -name SIP_FILE fp_mul.sip +set_global_assignment -name QIP_FILE fp_to_fix.qip +set_global_assignment -name SIP_FILE fp_to_fix.sip +set_global_assignment -name QIP_FILE fix_to_fp.qip +set_global_assignment -name SIP_FILE fix_to_fp.sip +set_global_assignment -name VERILOG_FILE const128.v +set_global_assignment -name BDF_FILE dualfunction.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name BDF_FILE dualfunction_t.bdf +set_global_assignment -name QIP_FILE fp_sum.qip +set_global_assignment -name SIP_FILE fp_sum.sip +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/system_template_de1_soc/hw_dev_tutorial.sdc b/system_template_de1_soc/hw_dev_tutorial.sdc new file mode 100644 index 0000000..32b5766 --- /dev/null +++ b/system_template_de1_soc/hw_dev_tutorial.sdc @@ -0,0 +1,17 @@ +#Update -period with clock period (in nanoseconds) of the clock driving the fpga +create_clock -name sopc_clk -period 20 [get_ports iCLK_50] + +#Setting LED outputs as false path, since no timing requirement +set_false_path -from * -to [get_ports oLEDG[*]] + +#Constraining JTAG interface +#TCK port +create_clock -name altera_reserved_tck -period 100 [get_ports altera_reserved_tck] +#cut all paths to and from tck +set_clock_groups -exclusive -group [get_clocks altera_reserved_tck] +#constrain the TDI port +set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdi] +#constrain the TMS port +set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tms] +#constrain the TDO port +set_output_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdo] \ No newline at end of file diff --git a/system_template_de1_soc/pll.qip b/system_template_de1_soc/pll.qip new file mode 100644 index 0000000..a8d835f --- /dev/null +++ b/system_template_de1_soc/pll.qip @@ -0,0 +1,338 @@ +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "pll" -name MISC_FILE [file join $::quartus(qip_path) "pll.cmp"] +set_global_assignment -entity "pll" -library "pll" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "pll" -library "pll" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "pll" -library "pll" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_NAME "cGxs" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_NAME "cGxsXzAwMDI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::VW5rbm93bg==::ZGV2aWNl" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RldmljZV9zcGVlZF9ncmFkZQ==::Mg==::RGV2aWNlIFNwZWVkIEdyYWRl" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NTAuMA==::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NTAuMCBNSHo=::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::ZGlyZWN0::T3BlcmF0aW9uIE1vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::ZGlyZWN0::b3BlcmF0aW9uX21vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::ZmFsc2U=::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::Mg==::TnVtYmVyIE9mIENsb2Nrcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::Mg==::bnVtYmVyX29mX2Nsb2Nrcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::NTAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::Ng==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::Ng==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::NTAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::Ng==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::Ng==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::ZGVncmVlcw==::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::LTI1NTA=::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::LTQ2LjA=::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::NTAuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::NTAuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MTc1MDAgcHM=::cGhhc2Vfc2hpZnQx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T2Zm::UExMIEF1dG8gUmVzZXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MywzLDI1NiwyNTYsZmFsc2UsdHJ1ZSxmYWxzZSxmYWxzZSwzLDMsMSwwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsMywzLDYsMixwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDIsMzAsMjAwMCwzMDAuMCBNSHosMSxub25lLGdsYixtX2NudCxwaF9tdXhfY2xrLGZhbHNl::UGFyYW1ldGVyIFZhbHVlcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw=" + +set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"] +set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll/pll_0002.v"] +set_global_assignment -library "pll" -name QIP_FILE [file join $::quartus(qip_path) "pll/pll_0002.qip"] + +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/software/hello_world/.cproject b/system_template_de1_soc/software/hello_world/.cproject new file mode 100644 index 0000000..762e948 --- /dev/null +++ b/system_template_de1_soc/software/hello_world/.cproject @@ -0,0 +1,83 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + wsl + make + mem_init_install + true + false + false + + + wsl + make + mem_init_generate + true + false + false + + + wsl + make + help + true + false + false + + + + diff --git a/system_template_de1_soc/software/hello_world/.project b/system_template_de1_soc/software/hello_world/.project new file mode 100644 index 0000000..f580616 --- /dev/null +++ b/system_template_de1_soc/software/hello_world/.project @@ -0,0 +1,40 @@ + + + hello_world + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + +