diff --git a/.gitignore b/.gitignore index c5294c7..515f7ac 100644 --- a/.gitignore +++ b/.gitignore @@ -1,12 +1,21 @@ ** !system_template_de1_soc/ +!*.bdf +!*.sdc !*.v +!*.vhd +!*.sopcinfo +!*.tcl +!*.qip +!*.qpf +!*.qsf !*.qsys !system_template_de1_soc/software/ -!system_template_de1_soc/software/* +!system_template_de1_soc/software/** system_template_de1_soc/software/*_bsp !system_template_de1_soc/software/*/*.c !DSD_coursework_DE1-SoC.pdf +!Report_3_Group_7.pdf !README.md !Python/ !*.py \ No newline at end of file diff --git a/Report_3_Group_7.pdf b/Report_3_Group_7.pdf new file mode 100644 index 0000000..eea78ec Binary files /dev/null and b/Report_3_Group_7.pdf differ diff --git a/system_template_de1_soc/dsditer_dual_hw.tcl b/system_template_de1_soc/dsditer_dual_hw.tcl new file mode 100644 index 0000000..b48d6a7 --- /dev/null +++ b/system_template_de1_soc/dsditer_dual_hw.tcl @@ -0,0 +1,75 @@ +# TCL File Generated by Component Editor 20.1 +# Tue Mar 22 14:51:10 GMT 2022 +# DO NOT MODIFY + + +# +# dsditer_dual "Full Function Single Iteration (Dual Parallel)" v20.1 +# 2022.03.22.14:51:10 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module dsditer_dual +# +set_module_property DESCRIPTION "" +set_module_property NAME dsditer_dual +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Full Function Single Iteration (Dual Parallel)" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL dualfunction +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file dualfunction.v VERILOG PATH dualfunction.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point dsditer_dual_slave +# +add_interface dsditer_dual_slave nios_custom_instruction end +set_interface_property dsditer_dual_slave clockCycle 0 +set_interface_property dsditer_dual_slave operands 2 +set_interface_property dsditer_dual_slave ENABLED true +set_interface_property dsditer_dual_slave EXPORT_OF "" +set_interface_property dsditer_dual_slave PORT_NAME_MAP "" +set_interface_property dsditer_dual_slave CMSIS_SVD_VARIABLES "" +set_interface_property dsditer_dual_slave SVD_ADDRESS_GROUP "" + +add_interface_port dsditer_dual_slave en clk_en Input 1 +add_interface_port dsditer_dual_slave start start Input 1 +add_interface_port dsditer_dual_slave dataa dataa Input 32 +add_interface_port dsditer_dual_slave datab datab Input 32 +add_interface_port dsditer_dual_slave done done Output 1 +add_interface_port dsditer_dual_slave result result Output 32 +add_interface_port dsditer_dual_slave clk clk Input 1 +add_interface_port dsditer_dual_slave reset reset Input 1 + diff --git a/system_template_de1_soc/dsditer_hw.tcl b/system_template_de1_soc/dsditer_hw.tcl new file mode 100644 index 0000000..04b8593 --- /dev/null +++ b/system_template_de1_soc/dsditer_hw.tcl @@ -0,0 +1,74 @@ +# TCL File Generated by Component Editor 20.1 +# Tue Mar 22 12:48:13 GMT 2022 +# DO NOT MODIFY + + +# +# dsditer "Full Function Single Iteration" v20.1 +# 2022.03.22.12:48:13 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module dsditer +# +set_module_property DESCRIPTION "" +set_module_property NAME dsditer +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Full Function Single Iteration" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fullfunction +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fullfunction.v VERILOG PATH fullfunction.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fullfunction_slave +# +add_interface fullfunction_slave nios_custom_instruction end +set_interface_property fullfunction_slave clockCycle 0 +set_interface_property fullfunction_slave operands 1 +set_interface_property fullfunction_slave ENABLED true +set_interface_property fullfunction_slave EXPORT_OF "" +set_interface_property fullfunction_slave PORT_NAME_MAP "" +set_interface_property fullfunction_slave CMSIS_SVD_VARIABLES "" +set_interface_property fullfunction_slave SVD_ADDRESS_GROUP "" + +add_interface_port fullfunction_slave en clk_en Input 1 +add_interface_port fullfunction_slave start start Input 1 +add_interface_port fullfunction_slave dataa dataa Input 32 +add_interface_port fullfunction_slave done done Output 1 +add_interface_port fullfunction_slave result result Output 32 +add_interface_port fullfunction_slave clk clk Input 1 +add_interface_port fullfunction_slave reset reset Input 1 + diff --git a/system_template_de1_soc/dsditer_t_dual_hw.tcl b/system_template_de1_soc/dsditer_t_dual_hw.tcl new file mode 100644 index 0000000..509a34a --- /dev/null +++ b/system_template_de1_soc/dsditer_t_dual_hw.tcl @@ -0,0 +1,75 @@ +# TCL File Generated by Component Editor 20.1 +# Sun Mar 27 15:20:46 BST 2022 +# DO NOT MODIFY + + +# +# dsditer_t_dual "Full Function Single Iteration (Dual Combinatorial CORDIC)" v20.1 +# 2022.03.27.15:20:46 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module dsditer_t_dual +# +set_module_property DESCRIPTION "" +set_module_property NAME dsditer_t_dual +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Full Function Single Iteration (Dual Combinatorial CORDIC)" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL dualfunction_t +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file dualfunction_t.v VERILOG PATH dualfunction_t.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point dsditer_t_dual_slave +# +add_interface dsditer_t_dual_slave nios_custom_instruction end +set_interface_property dsditer_t_dual_slave clockCycle 0 +set_interface_property dsditer_t_dual_slave operands 2 +set_interface_property dsditer_t_dual_slave ENABLED true +set_interface_property dsditer_t_dual_slave EXPORT_OF "" +set_interface_property dsditer_t_dual_slave PORT_NAME_MAP "" +set_interface_property dsditer_t_dual_slave CMSIS_SVD_VARIABLES "" +set_interface_property dsditer_t_dual_slave SVD_ADDRESS_GROUP "" + +add_interface_port dsditer_t_dual_slave en clk_en Input 1 +add_interface_port dsditer_t_dual_slave start start Input 1 +add_interface_port dsditer_t_dual_slave dataa dataa Input 32 +add_interface_port dsditer_t_dual_slave datab datab Input 32 +add_interface_port dsditer_t_dual_slave done done Output 1 +add_interface_port dsditer_t_dual_slave result result Output 32 +add_interface_port dsditer_t_dual_slave clk clk Input 1 +add_interface_port dsditer_t_dual_slave reset reset Input 1 + diff --git a/system_template_de1_soc/dsditer_t_hw.tcl b/system_template_de1_soc/dsditer_t_hw.tcl new file mode 100644 index 0000000..d34930d --- /dev/null +++ b/system_template_de1_soc/dsditer_t_hw.tcl @@ -0,0 +1,74 @@ +# TCL File Generated by Component Editor 20.1 +# Sun Mar 27 14:51:30 BST 2022 +# DO NOT MODIFY + + +# +# dsditer_t "Full Function Single Iteration (Combinatorial CORDIC)" v20.1 +# 2022.03.27.14:51:30 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module dsditer_t +# +set_module_property DESCRIPTION "" +set_module_property NAME dsditer_t +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Full Function Single Iteration (Combinatorial CORDIC)" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fullfunction_t +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fullfunction_t.v VERILOG PATH fullfunction_t.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point dsditer_t_slave +# +add_interface dsditer_t_slave nios_custom_instruction end +set_interface_property dsditer_t_slave clockCycle 0 +set_interface_property dsditer_t_slave operands 1 +set_interface_property dsditer_t_slave ENABLED true +set_interface_property dsditer_t_slave EXPORT_OF "" +set_interface_property dsditer_t_slave PORT_NAME_MAP "" +set_interface_property dsditer_t_slave CMSIS_SVD_VARIABLES "" +set_interface_property dsditer_t_slave SVD_ADDRESS_GROUP "" + +add_interface_port dsditer_t_slave en clk_en Input 1 +add_interface_port dsditer_t_slave start start Input 1 +add_interface_port dsditer_t_slave dataa dataa Input 32 +add_interface_port dsditer_t_slave done done Output 1 +add_interface_port dsditer_t_slave result result Output 32 +add_interface_port dsditer_t_slave clk clk Input 1 +add_interface_port dsditer_t_slave reset reset Input 1 + diff --git a/system_template_de1_soc/dualfunction.bdf b/system_template_de1_soc/dualfunction.bdf new file mode 100644 index 0000000..e4460a6 --- /dev/null +++ b/system_template_de1_soc/dualfunction.bdf @@ -0,0 +1,783 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 16 48 184 64) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 20 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 64 184 80) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "reset" (rect 5 0 29 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 80 184 96) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "en" (rect 5 0 17 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 96 184 112) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "dataa[31..0]" (rect 5 0 62 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 224 184 240) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "datab[31..0]" (rect 5 0 62 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 112 184 128) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "start" (rect 5 0 28 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 648 120 824 136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "done" (rect 90 0 113 17)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 648 88 824 104) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result[31..0]" (rect 90 0 149 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 224 24 424 152) + (text "fullfunction" (rect 5 0 71 19)(font "Intel Clear" (font_size 8))) + (text "inst" (rect 8 107 24 124)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 16 19)(font "Intel Clear" (font_size 8))) + (text "clk" (rect 21 27 37 46)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "reset" (rect 21 43 50 62)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "en" (rect 0 0 14 19)(font "Intel Clear" (font_size 8))) + (text "en" (rect 21 59 35 78)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8))) + (text "dataa[31..0]" (rect 21 75 94 94)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 0 96) + (input) + (text "start" (rect 0 0 27 19)(font "Intel Clear" (font_size 8))) + (text "start" (rect 21 91 48 110)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 200 32) + (output) + (text "result[31..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8))) + (text "result[31..0]" (rect 108 27 179 46)(font "Intel Clear" (font_size 8))) + (line (pt 200 32)(pt 184 32)(line_width 3)) + ) + (port + (pt 200 48) + (output) + (text "done" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "done" (rect 150 43 179 62)(font "Intel Clear" (font_size 8))) + (line (pt 200 48)(pt 184 48)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(symbol + (rect 224 152 424 280) + (text "fullfunction" (rect 5 0 71 19)(font "Intel Clear" (font_size 8))) + (text "inst1" (rect 8 107 30 124)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 16 19)(font "Intel Clear" (font_size 8))) + (text "clk" (rect 21 27 37 46)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "reset" (rect 21 43 50 62)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "en" (rect 0 0 14 19)(font "Intel Clear" (font_size 8))) + (text "en" (rect 21 59 35 78)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8))) + (text "dataa[31..0]" (rect 21 75 94 94)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 0 96) + (input) + (text "start" (rect 0 0 27 19)(font "Intel Clear" (font_size 8))) + (text "start" (rect 21 91 48 110)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 200 32) + (output) + (text "result[31..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8))) + (text "result[31..0]" (rect 108 27 179 46)(font "Intel Clear" (font_size 8))) + (line (pt 200 32)(pt 184 32)(line_width 3)) + ) + (port + (pt 200 48) + (output) + (text "done" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "done" (rect 150 43 179 62)(font "Intel Clear" (font_size 8))) + (line (pt 200 48)(pt 184 48)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(symbol + (rect 488 24 632 288) + (text "fp_add" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "inst2" (rect 8 248 30 265)(font "Intel Clear" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 472 288 536 336) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 552 304 616 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 68 25 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 632 304 696 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 68 25 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 648 272 680 288) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 5 25 22)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(connector + (pt 224 248) + (pt 216 248) +) +(connector + (pt 216 248) + (pt 216 120) +) +(connector + (pt 224 216) + (pt 208 216) +) +(connector + (pt 224 200) + (pt 200 200) +) +(connector + (pt 224 184) + (pt 192 184) +) +(connector + (pt 224 104) + (pt 184 104) + (bus) +) +(connector + (pt 224 232) + (pt 184 232) + (bus) +) +(connector + (pt 184 56) + (pt 192 56) +) +(connector + (pt 192 56) + (pt 224 56) +) +(connector + (pt 184 72) + (pt 200 72) +) +(connector + (pt 200 72) + (pt 224 72) +) +(connector + (pt 184 88) + (pt 208 88) +) +(connector + (pt 208 88) + (pt 224 88) +) +(connector + (pt 184 120) + (pt 216 120) +) +(connector + (pt 216 120) + (pt 224 120) +) +(connector + (pt 488 96) + (pt 432 96) +) +(connector + (pt 432 288) + (pt 192 288) +) +(connector + (pt 192 56) + (pt 192 184) +) +(connector + (pt 192 184) + (pt 192 288) +) +(connector + (pt 488 136) + (pt 440 136) +) +(connector + (pt 440 136) + (pt 440 296) +) +(connector + (pt 440 296) + (pt 200 296) +) +(connector + (pt 200 72) + (pt 200 200) +) +(connector + (pt 200 200) + (pt 200 296) +) +(connector + (pt 488 176) + (pt 448 176) +) +(connector + (pt 448 176) + (pt 448 304) +) +(connector + (pt 448 304) + (pt 208 304) +) +(connector + (pt 208 88) + (pt 208 216) +) +(connector + (pt 208 216) + (pt 208 304) +) +(connector + (pt 488 216) + (pt 480 216) + (bus) +) +(connector + (pt 480 216) + (pt 480 56) + (bus) +) +(connector + (pt 480 56) + (pt 424 56) + (bus) +) +(connector + (pt 488 256) + (pt 472 256) + (bus) +) +(connector + (pt 472 256) + (pt 472 184) + (bus) +) +(connector + (pt 472 184) + (pt 424 184) + (bus) +) +(connector + (pt 424 72) + (pt 464 72) +) +(connector + (pt 424 200) + (pt 456 200) +) +(connector + (pt 464 304) + (pt 472 304) +) +(connector + (pt 464 72) + (pt 464 304) +) +(connector + (pt 456 320) + (pt 472 320) +) +(connector + (pt 456 200) + (pt 456 320) +) +(connector + (pt 552 328) + (pt 544 328) +) +(connector + (pt 544 328) + (pt 544 312) +) +(connector + (pt 544 312) + (pt 536 312) +) +(connector + (pt 632 328) + (pt 616 328) +) +(connector + (pt 632 344) + (pt 624 344) +) +(connector + (pt 432 96) + (pt 432 288) +) +(connector + (pt 432 288) + (pt 432 344) +) +(connector + (pt 584 304) + (pt 584 296) +) +(connector + (pt 664 288) + (pt 664 296) +) +(connector + (pt 664 296) + (pt 664 304) +) +(connector + (pt 624 344) + (pt 624 400) +) +(connector + (pt 624 400) + (pt 544 400) +) +(connector + (pt 544 344) + (pt 544 400) +) +(connector + (pt 432 344) + (pt 544 344) +) +(connector + (pt 544 344) + (pt 552 344) +) +(connector + (pt 584 384) + (pt 584 392) +) +(connector + (pt 664 384) + (pt 664 392) +) +(connector + (pt 712 392) + (pt 712 296) +) +(connector + (pt 584 392) + (pt 664 392) +) +(connector + (pt 664 392) + (pt 712 392) +) +(connector + (pt 584 296) + (pt 664 296) +) +(connector + (pt 664 296) + (pt 712 296) +) +(connector + (pt 696 328) + (pt 704 328) +) +(connector + (pt 704 328) + (pt 704 264) +) +(connector + (pt 704 264) + (pt 640 264) +) +(connector + (pt 640 264) + (pt 640 128) +) +(connector + (pt 648 128) + (pt 640 128) +) +(connector + (pt 632 96) + (pt 648 96) + (bus) +) +(junction (pt 192 56)) +(junction (pt 200 72)) +(junction (pt 208 88)) +(junction (pt 216 120)) +(junction (pt 192 184)) +(junction (pt 200 200)) +(junction (pt 208 216)) +(junction (pt 544 344)) +(junction (pt 432 288)) +(junction (pt 664 296)) +(junction (pt 664 392)) diff --git a/system_template_de1_soc/dualfunction_t.bdf b/system_template_de1_soc/dualfunction_t.bdf new file mode 100644 index 0000000..d4b494e --- /dev/null +++ b/system_template_de1_soc/dualfunction_t.bdf @@ -0,0 +1,783 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 16 48 184 64) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "clk" (rect 5 0 19 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 64 184 80) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "reset" (rect 5 0 28 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 80 184 96) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "en" (rect 5 0 16 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 96 184 112) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "dataa[31..0]" (rect 5 0 61 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 224 184 240) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "datab[31..0]" (rect 5 0 61 17)(font "Intel Clear" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 112 184 128) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "start" (rect 5 0 27 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 648 120 824 136) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "done" (rect 90 0 113 17)(font "Intel Clear" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 648 88 824 104) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result[31..0]" (rect 90 0 149 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 488 24 632 288) + (text "fp_add" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "inst2" (rect 8 248 30 265)(font "Intel Clear" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 472 288 536 336) + (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) + (text "inst3" (rect 3 37 25 54)(font "Intel Clear" )) + (port + (pt 0 16) + (input) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) + (line (pt 0 16)(pt 14 16)) + ) + (port + (pt 0 32) + (input) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) + (line (pt 0 32)(pt 14 32)) + ) + (port + (pt 64 24) + (output) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) + (line (pt 42 24)(pt 64 24)) + ) + (drawing + (line (pt 14 12)(pt 30 12)) + (line (pt 14 37)(pt 31 37)) + (line (pt 14 12)(pt 14 37)) + (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) + ) +) +(symbol + (rect 552 304 616 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst4" (rect 3 68 25 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 632 304 696 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 68 25 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 648 272 680 288) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst6" (rect 3 5 25 22)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 224 24 424 152) + (text "fullfunction_t" (rect 5 0 82 19)(font "Intel Clear" (font_size 8))) + (text "inst" (rect 8 107 24 124)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 16 19)(font "Intel Clear" (font_size 8))) + (text "clk" (rect 21 27 37 46)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "reset" (rect 21 43 50 62)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "en" (rect 0 0 14 19)(font "Intel Clear" (font_size 8))) + (text "en" (rect 21 59 35 78)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8))) + (text "dataa[31..0]" (rect 21 75 94 94)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 0 96) + (input) + (text "start" (rect 0 0 27 19)(font "Intel Clear" (font_size 8))) + (text "start" (rect 21 91 48 110)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 200 32) + (output) + (text "result[31..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8))) + (text "result[31..0]" (rect 108 27 179 46)(font "Intel Clear" (font_size 8))) + (line (pt 200 32)(pt 184 32)(line_width 3)) + ) + (port + (pt 200 48) + (output) + (text "done" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "done" (rect 150 43 179 62)(font "Intel Clear" (font_size 8))) + (line (pt 200 48)(pt 184 48)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(symbol + (rect 224 152 424 280) + (text "fullfunction_t" (rect 5 0 82 19)(font "Intel Clear" (font_size 8))) + (text "inst1" (rect 8 107 30 124)(font "Intel Clear" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 16 19)(font "Intel Clear" (font_size 8))) + (text "clk" (rect 21 27 37 46)(font "Intel Clear" (font_size 8))) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "reset" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "reset" (rect 21 43 50 62)(font "Intel Clear" (font_size 8))) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "en" (rect 0 0 14 19)(font "Intel Clear" (font_size 8))) + (text "en" (rect 21 59 35 78)(font "Intel Clear" (font_size 8))) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 73 19)(font "Intel Clear" (font_size 8))) + (text "dataa[31..0]" (rect 21 75 94 94)(font "Intel Clear" (font_size 8))) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 0 96) + (input) + (text "start" (rect 0 0 27 19)(font "Intel Clear" (font_size 8))) + (text "start" (rect 21 91 48 110)(font "Intel Clear" (font_size 8))) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 200 32) + (output) + (text "result[31..0]" (rect 0 0 71 19)(font "Intel Clear" (font_size 8))) + (text "result[31..0]" (rect 108 27 179 46)(font "Intel Clear" (font_size 8))) + (line (pt 200 32)(pt 184 32)(line_width 3)) + ) + (port + (pt 200 48) + (output) + (text "done" (rect 0 0 29 19)(font "Intel Clear" (font_size 8))) + (text "done" (rect 150 43 179 62)(font "Intel Clear" (font_size 8))) + (line (pt 200 48)(pt 184 48)) + ) + (drawing + (rectangle (rect 16 16 184 112)) + ) +) +(connector + (pt 224 248) + (pt 216 248) +) +(connector + (pt 216 248) + (pt 216 120) +) +(connector + (pt 224 216) + (pt 208 216) +) +(connector + (pt 224 200) + (pt 200 200) +) +(connector + (pt 224 184) + (pt 192 184) +) +(connector + (pt 224 104) + (pt 184 104) + (bus) +) +(connector + (pt 224 232) + (pt 184 232) + (bus) +) +(connector + (pt 184 56) + (pt 192 56) +) +(connector + (pt 192 56) + (pt 224 56) +) +(connector + (pt 184 72) + (pt 200 72) +) +(connector + (pt 200 72) + (pt 224 72) +) +(connector + (pt 184 88) + (pt 208 88) +) +(connector + (pt 208 88) + (pt 224 88) +) +(connector + (pt 184 120) + (pt 216 120) +) +(connector + (pt 216 120) + (pt 224 120) +) +(connector + (pt 488 96) + (pt 432 96) +) +(connector + (pt 432 288) + (pt 192 288) +) +(connector + (pt 192 56) + (pt 192 184) +) +(connector + (pt 192 184) + (pt 192 288) +) +(connector + (pt 488 136) + (pt 440 136) +) +(connector + (pt 440 136) + (pt 440 296) +) +(connector + (pt 440 296) + (pt 200 296) +) +(connector + (pt 200 72) + (pt 200 200) +) +(connector + (pt 200 200) + (pt 200 296) +) +(connector + (pt 488 176) + (pt 448 176) +) +(connector + (pt 448 176) + (pt 448 304) +) +(connector + (pt 448 304) + (pt 208 304) +) +(connector + (pt 208 88) + (pt 208 216) +) +(connector + (pt 208 216) + (pt 208 304) +) +(connector + (pt 488 216) + (pt 480 216) + (bus) +) +(connector + (pt 480 216) + (pt 480 56) + (bus) +) +(connector + (pt 480 56) + (pt 424 56) + (bus) +) +(connector + (pt 488 256) + (pt 472 256) + (bus) +) +(connector + (pt 472 256) + (pt 472 184) + (bus) +) +(connector + (pt 472 184) + (pt 424 184) + (bus) +) +(connector + (pt 424 72) + (pt 464 72) +) +(connector + (pt 424 200) + (pt 456 200) +) +(connector + (pt 464 304) + (pt 472 304) +) +(connector + (pt 464 72) + (pt 464 304) +) +(connector + (pt 456 320) + (pt 472 320) +) +(connector + (pt 456 200) + (pt 456 320) +) +(connector + (pt 552 328) + (pt 544 328) +) +(connector + (pt 544 328) + (pt 544 312) +) +(connector + (pt 544 312) + (pt 536 312) +) +(connector + (pt 632 328) + (pt 616 328) +) +(connector + (pt 632 344) + (pt 624 344) +) +(connector + (pt 432 96) + (pt 432 288) +) +(connector + (pt 432 288) + (pt 432 344) +) +(connector + (pt 584 304) + (pt 584 296) +) +(connector + (pt 664 288) + (pt 664 296) +) +(connector + (pt 664 296) + (pt 664 304) +) +(connector + (pt 624 344) + (pt 624 400) +) +(connector + (pt 624 400) + (pt 544 400) +) +(connector + (pt 544 344) + (pt 544 400) +) +(connector + (pt 432 344) + (pt 544 344) +) +(connector + (pt 544 344) + (pt 552 344) +) +(connector + (pt 584 384) + (pt 584 392) +) +(connector + (pt 664 384) + (pt 664 392) +) +(connector + (pt 712 392) + (pt 712 296) +) +(connector + (pt 584 392) + (pt 664 392) +) +(connector + (pt 664 392) + (pt 712 392) +) +(connector + (pt 584 296) + (pt 664 296) +) +(connector + (pt 664 296) + (pt 712 296) +) +(connector + (pt 696 328) + (pt 704 328) +) +(connector + (pt 704 328) + (pt 704 264) +) +(connector + (pt 704 264) + (pt 640 264) +) +(connector + (pt 640 264) + (pt 640 128) +) +(connector + (pt 648 128) + (pt 640 128) +) +(connector + (pt 632 96) + (pt 648 96) + (bus) +) +(junction (pt 192 56)) +(junction (pt 200 72)) +(junction (pt 208 88)) +(junction (pt 216 120)) +(junction (pt 192 184)) +(junction (pt 200 200)) +(junction (pt 208 216)) +(junction (pt 544 344)) +(junction (pt 432 288)) +(junction (pt 664 296)) +(junction (pt 664 392)) diff --git a/system_template_de1_soc/first_nios2_system.sopcinfo b/system_template_de1_soc/first_nios2_system.sopcinfo new file mode 100644 index 0000000..e639acf --- /dev/null +++ b/system_template_de1_soc/first_nios2_system.sopcinfo @@ -0,0 +1,9238 @@ + + + + + + + java.lang.Integer + 1648416658 + false + true + false + true + GENERATION_ID + + + java.lang.String + + false + true + false + true + UNIQUE_ID + + + java.lang.String + CYCLONEV + false + true + false + true + DEVICE_FAMILY + + + java.lang.String + 5CSEMA5F31C6 + false + true + false + true + DEVICE + + + java.lang.String + 6 + false + true + false + true + DEVICE_SPEEDGRADE + + + java.lang.Long + -1 + false + true + false + true + CLOCK_RATE + clk + + + java.lang.Integer + -1 + false + true + false + true + CLOCK_DOMAIN + clk + + + java.lang.Integer + -1 + false + true + false + true + RESET_DOMAIN + clk + + + java.lang.String + Cyclone V + false + true + false + true + DEVICE_FAMILY + + + boolean + false + false + true + true + true + + + + + long + 50000000 + false + true + true + true + + + boolean + true + false + true + true + true + + + long + 0 + false + true + false + true + CLOCK_RATE + clk_in + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + qsys.ui.export_name + clk + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + in_clk + Input + 1 + clk + + + + + + qsys.ui.export_name + reset + + + java.lang.String + + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + java.lang.String + clk_in + false + true + true + true + + + long + 50000000 + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + true + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + true + + clk_out + Output + 1 + clk + + + false + cpu + clk + cpu.clk + + + false + jtag_uart + clk + jtag_uart.clk + + + false + sys_clk_timer + clk + sys_clk_timer.clk + + + false + sysid + clk + sysid.clk + + + false + led_pio + clk + led_pio.clk + + + false + sdram + clk + sdram.clk + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + clk_in_reset + false + true + true + true + + + [Ljava.lang.String; + clk_in_reset + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + NONE + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + true + + reset_n_out + Output + 1 + reset_n + + + + + + + debug.hostConnection + type jtag id 70:34|110:135 + + + embeddedsw.CMacro.BIG_ENDIAN + 0 + + + embeddedsw.CMacro.BREAK_ADDR + 0x01000820 + + + embeddedsw.CMacro.CPU_ARCH_NIOS2_R1 + + + + embeddedsw.CMacro.CPU_FREQ + 50000000u + + + embeddedsw.CMacro.CPU_ID_SIZE + 1 + + + embeddedsw.CMacro.CPU_ID_VALUE + 0x00000000 + + + embeddedsw.CMacro.CPU_IMPLEMENTATION + "fast" + + + embeddedsw.CMacro.DATA_ADDR_WIDTH + 25 + + + embeddedsw.CMacro.DCACHE_BYPASS_MASK + 0x80000000 + + + embeddedsw.CMacro.DCACHE_LINE_SIZE + 32 + + + embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 + 5 + + + embeddedsw.CMacro.DCACHE_SIZE + 2048 + + + embeddedsw.CMacro.EXCEPTION_ADDR + 0x00800020 + + + embeddedsw.CMacro.FLASH_ACCELERATOR_LINES + 0 + + + embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE + 0 + + + embeddedsw.CMacro.FLUSHDA_SUPPORTED + + + + embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT + 0 + + + embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT + 0 + + + embeddedsw.CMacro.HARDWARE_MULX_PRESENT + 0 + + + embeddedsw.CMacro.HAS_DEBUG_CORE + 1 + + + embeddedsw.CMacro.HAS_DEBUG_STUB + + + + embeddedsw.CMacro.HAS_EXTRA_EXCEPTION_INFO + + + + embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION + + + + embeddedsw.CMacro.HAS_JMPI_INSTRUCTION + + + + embeddedsw.CMacro.ICACHE_LINE_SIZE + 32 + + + embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 + 5 + + + embeddedsw.CMacro.ICACHE_SIZE + 2048 + + + embeddedsw.CMacro.INITDA_SUPPORTED + + + + embeddedsw.CMacro.INST_ADDR_WIDTH + 25 + + + embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS + 0 + + + embeddedsw.CMacro.OCI_VERSION + 1 + + + embeddedsw.CMacro.RESET_ADDR + 0x00800000 + + + embeddedsw.configuration.DataCacheVictimBufImpl + ram + + + embeddedsw.configuration.HDLSimCachesCleared + 1 + + + embeddedsw.configuration.breakOffset + 32 + + + embeddedsw.configuration.breakSlave + cpu.debug_mem_slave + + + embeddedsw.configuration.cpuArchitecture + Nios II + + + embeddedsw.configuration.exceptionOffset + 32 + + + embeddedsw.configuration.exceptionSlave + sdram.s1 + + + embeddedsw.configuration.resetOffset + 0 + + + embeddedsw.configuration.resetSlave + sdram.s1 + + + embeddedsw.dts.compatible + altr,nios2-1.1 + + + embeddedsw.dts.group + cpu + + + embeddedsw.dts.name + nios2 + + + embeddedsw.dts.params.altr,exception-addr + 0x00800020 + + + embeddedsw.dts.params.altr,has-initda + 1 + + + embeddedsw.dts.params.altr,implementation + "fast" + + + embeddedsw.dts.params.altr,reset-addr + 0x00800000 + + + embeddedsw.dts.params.clock-frequency + 50000000u + + + embeddedsw.dts.params.dcache-line-size + 32 + + + embeddedsw.dts.params.dcache-size + 2048 + + + embeddedsw.dts.params.icache-line-size + 32 + + + embeddedsw.dts.params.icache-size + 2048 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 0 + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 8 + false + false + true + true + + + int + 8 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + true + true + true + + + int + 32 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 32 + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + sdram.s1 + false + true + true + true + + + java.lang.String + None + false + false + true + true + + + java.lang.String + sdram.s1 + false + true + true + true + + + java.lang.String + None + false + true + false + true + + + java.lang.String + Internal + false + true + true + true + + + java.lang.String + Dynamic + false + true + true + true + + + int + 8 + false + true + true + true + + + int + 1 + false + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + fast_le_shift + true + true + false + true + + + java.lang.String + no_mul + true + true + false + true + + + int + 1 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + java.lang.String + no_div + false + true + true + true + + + int + 12 + false + false + true + true + + + int + 12 + false + false + true + true + + + int + 4 + false + false + true + true + + + int + 6 + false + false + true + true + + + int + 7 + false + false + true + true + + + int + 16 + false + false + true + true + + + int + 8 + false + false + true + true + + + java.lang.String + Fast + false + true + true + true + + + int + 2048 + false + true + true + true + + + int + 2 + false + false + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + None + false + true + true + true + + + java.lang.String + false + false + true + true + true + + + java.lang.String + ram + false + true + true + true + + + int + 2048 + false + true + true + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + true + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + java.lang.String + Automatic + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + true + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + java.lang.String + _128 + false + false + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + none + false + true + true + true + + + java.lang.String + onchip_trace + false + false + true + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + long + 0 + false + true + false + true + + + int + 8388608 + true + true + true + true + + + int + 8388640 + true + true + true + true + + + int + 16779296 + true + true + false + true + + + int + 0 + true + true + true + true + + + java.lang.String + false + true + true + false + true + + + int + 2048 + true + true + false + true + + + java.lang.String + cpu.debug_mem_slave + true + true + false + true + + + int + 32 + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + java.lang.String + "synthesis translate_on" + true + true + false + true + + + java.lang.String + "synthesis translate_off" + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + int + 25 + false + true + false + true + ADDRESS_WIDTH + instruction_master + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + flash_instruction_master + + + int + 25 + false + true + false + true + ADDRESS_WIDTH + data_master + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_data_master_0 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_data_master_1 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_data_master_2 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_data_master_3 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_instruction_master_0 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_instruction_master_1 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_instruction_master_2 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + tightly_coupled_instruction_master_3 + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + data_master_high_performance + + + int + 1 + false + true + false + true + ADDRESS_WIDTH + instruction_master_high_performance + + + java.lang.String + ]]> + false + true + false + true + ADDRESS_MAP + instruction_master + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + flash_instruction_master + + + java.lang.String + ]]> + false + true + false + true + ADDRESS_MAP + data_master + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_data_master_0 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_data_master_1 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_data_master_2 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_data_master_3 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_instruction_master_0 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_instruction_master_1 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_instruction_master_2 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + tightly_coupled_instruction_master_3 + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + data_master_high_performance + + + java.lang.String + + false + true + false + true + ADDRESS_MAP + instruction_master_high_performance + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + java.lang.String + CYCLONEV + false + true + false + true + DEVICE_FAMILY + + + long + 65538 + false + true + false + true + INTERRUPTS_USED + irq + + + java.lang.String + ]]> + false + true + false + true + CUSTOM_INSTRUCTION_SLAVES + custom_instruction_master + + + java.lang.String + ]]> + false + true + false + true + CUSTOM_INSTRUCTION_SLAVES + custom_instruction_master_a + + + java.lang.String + ]]> + false + true + false + true + CUSTOM_INSTRUCTION_SLAVES + custom_instruction_master_b + + + java.lang.String + ]]> + false + true + false + true + CUSTOM_INSTRUCTION_SLAVES + custom_instruction_master_c + + + java.lang.String + COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 1 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 1 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 0 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 + false + true + false + true + DEVICE_FEATURES + + + java.lang.String + 5CSEMA5F31C6 + false + true + false + true + DEVICE + + + java.lang.String + 6 + false + true + false + true + DEVICE_SPEEDGRADE + + + java.lang.Integer + 1 + false + true + false + true + CLOCK_DOMAIN + clk + + + java.lang.Integer + 1 + false + true + false + true + RESET_DOMAIN + clk + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + reset_req + Input + 1 + reset_req + + + + + + debug.providesServices + master + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 1 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + true + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + d_address + Output + 25 + address + + + d_byteenable + Output + 4 + byteenable + + + d_read + Output + 1 + read + + + d_readdata + Input + 32 + readdata + + + d_waitrequest + Input + 1 + waitrequest + + + d_write + Output + 1 + write + + + d_writedata + Output + 32 + writedata + + + d_readdatavalid + Input + 1 + readdatavalid + + + debug_mem_slave_debugaccess_to_roms + Output + 1 + debugaccess + + + false + jtag_uart + avalon_jtag_slave + jtag_uart.avalon_jtag_slave + 16781368 + 8 + + + false + sysid + control_slave + sysid.control_slave + 16781360 + 8 + + + false + cpu + debug_mem_slave + cpu.debug_mem_slave + 16779264 + 2048 + + + false + sys_clk_timer + s1 + sys_clk_timer.s1 + 16781312 + 32 + + + false + led_pio + s1 + led_pio.s1 + 16781344 + 16 + + + false + sdram + s1 + sdram.s1 + 8388608 + 8388608 + + + + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + int + 1 + false + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + SYMBOLS + false + true + true + true + + + boolean + true + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + true + true + + + int + 32 + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + true + + i_address + Output + 25 + address + + + i_read + Output + 1 + read + + + i_readdata + Input + 32 + readdata + + + i_waitrequest + Input + 1 + waitrequest + + + i_readdatavalid + Input + 1 + readdatavalid + + + false + cpu + debug_mem_slave + cpu.debug_mem_slave + 16779264 + 2048 + + + false + sdram + s1 + sdram.s1 + 8388608 + 8388608 + + + + + + com.altera.entityinterfaces.IConnectionPoint + cpu.data_master + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.String + + false + true + false + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + INDIVIDUAL_REQUESTS + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + true + + irq + Input + 32 + irq + + + false + sys_clk_timer + irq + sys_clk_timer.irq + 1 + + + false + jtag_uart + irq + jtag_uart.irq + 16 + + + + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + + false + true + true + true + + + [Ljava.lang.String; + none + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + true + + debug_reset_request + Output + 1 + reset + + + + + + embeddedsw.configuration.hideDevice + 1 + + + qsys.ui.connect + instruction_master,data_master + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 2048 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + 0 + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + debug_mem_slave_address + Input + 9 + address + + + debug_mem_slave_byteenable + Input + 4 + byteenable + + + debug_mem_slave_debugaccess + Input + 1 + debugaccess + + + debug_mem_slave_read + Input + 1 + read + + + debug_mem_slave_readdata + Output + 32 + readdata + + + debug_mem_slave_waitrequest + Output + 1 + waitrequest + + + debug_mem_slave_write + Input + 1 + write + + + debug_mem_slave_writedata + Input + 32 + writedata + + + + + + java.lang.String + + true + true + false + true + + + int + 8 + false + true + false + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + int + 8 + false + true + false + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + true + + A_ci_multi_done + Input + 1 + done + + + A_ci_multi_result + Input + 32 + multi_result + + + A_ci_multi_a + Output + 5 + multi_a + + + A_ci_multi_b + Output + 5 + multi_b + + + A_ci_multi_c + Output + 5 + multi_c + + + A_ci_multi_clk_en + Output + 1 + clk_en + + + A_ci_multi_clock + Output + 1 + clk + + + A_ci_multi_reset + Output + 1 + reset + + + A_ci_multi_reset_req + Output + 1 + reset_req + + + A_ci_multi_dataa + Output + 32 + multi_dataa + + + A_ci_multi_datab + Output + 32 + multi_datab + + + A_ci_multi_n + Output + 8 + multi_n + + + A_ci_multi_readra + Output + 1 + multi_readra + + + A_ci_multi_readrb + Output + 1 + multi_readrb + + + A_ci_multi_start + Output + 1 + start + + + A_ci_multi_writerc + Output + 1 + multi_writerc + + + false + fp_add + fp_add_slave + fp_add.fp_add_slave + 0 + fp_add + + + false + fp_mul + fp_mul_slave + fp_mul.fp_mul_slave + 2 + fp_mul + + + false + fp_sub + fp_sub_slave + fp_sub.fp_sub_slave + 1 + fp_sub + + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + + true + true + false + true + + + int + 0 + true + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType + MULTICYCLE + true + true + true + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 2 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + false + + areset + Input + 1 + reset + + + en + Input + 1 + clk_en + + + a + Input + 32 + dataa + + + b + Input + 32 + datab + + + q + Output + 32 + result + + + clk + Input + 1 + clk + + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + + true + true + false + true + + + int + 0 + true + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType + MULTICYCLE + true + true + true + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 2 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + false + + areset + Input + 1 + reset + + + en + Input + 1 + clk_en + + + a + Input + 32 + dataa + + + b + Input + 32 + datab + + + q + Output + 32 + result + + + clk + Input + 1 + clk + + + + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + java.lang.String + + true + true + false + true + + + int + 0 + true + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType + MULTICYCLE + true + true + true + true + + + int + 0 + true + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 2 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + nios_custom_instruction + false + + areset + Input + 1 + reset + + + en + Input + 1 + clk_en + + + a + Input + 32 + dataa + + + b + Input + 32 + datab + + + q + Output + 32 + result + + + clk + Input + 1 + clk + + + + + + + embeddedsw.CMacro.READ_DEPTH + 64 + + + embeddedsw.CMacro.READ_THRESHOLD + 8 + + + embeddedsw.CMacro.WRITE_DEPTH + 64 + + + embeddedsw.CMacro.WRITE_THRESHOLD + 8 + + + embeddedsw.dts.compatible + altr,juart-1.0 + + + embeddedsw.dts.group + serial + + + embeddedsw.dts.name + juart + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 64 + false + true + true + true + + + int + 8 + false + true + true + true + + + java.lang.String + + false + false + false + true + + + java.lang.String + NO_INTERACTIVE_WINDOWS + false + true + false + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + true + false + true + + + int + 64 + false + true + true + true + + + int + 8 + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + java.lang.String + 2.0 + false + true + false + true + AVALON_SPEC + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + rst_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 1 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 2 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + true + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + av_chipselect + Input + 1 + chipselect + + + av_address + Input + 1 + address + + + av_read_n + Input + 1 + read_n + + + av_readdata + Output + 32 + readdata + + + av_write_n + Input + 1 + write_n + + + av_writedata + Input + 32 + writedata + + + av_waitrequest + Output + 1 + waitrequest + + + + + + com.altera.entityinterfaces.IConnectionPoint + jtag_uart.avalon_jtag_slave + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.Integer + + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + av_irq + Output + 1 + irq + + + + + + + embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER + 0 + + + embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER + 0 + + + embeddedsw.CMacro.CAPTURE + 0 + + + embeddedsw.CMacro.DATA_WIDTH + 8 + + + embeddedsw.CMacro.DO_TEST_BENCH_WIRING + 0 + + + embeddedsw.CMacro.DRIVEN_SIM_VALUE + 0 + + + embeddedsw.CMacro.EDGE_TYPE + NONE + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.HAS_IN + 0 + + + embeddedsw.CMacro.HAS_OUT + 1 + + + embeddedsw.CMacro.HAS_TRI + 0 + + + embeddedsw.CMacro.IRQ_TYPE + NONE + + + embeddedsw.CMacro.RESET_VALUE + 0 + + + embeddedsw.dts.compatible + altr,pio-1.0 + + + embeddedsw.dts.group + gpio + + + embeddedsw.dts.name + pio + + + embeddedsw.dts.params.altr,gpio-bank-width + 8 + + + embeddedsw.dts.params.resetvalue + 0 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + false + true + true + + + boolean + false + false + true + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + Output + false + true + true + true + + + java.lang.String + RISING + false + false + true + true + + + boolean + false + false + false + true + true + + + java.lang.String + LEVEL + false + false + true + true + + + long + 0 + false + true + true + true + + + boolean + false + false + false + true + true + + + long + 0 + false + false + true + true + + + int + 8 + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + boolean + false + true + true + false + true + + + boolean + true + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + java.lang.String + NONE + true + true + false + true + + + boolean + false + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 4 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 2 + address + + + write_n + Input + 1 + write_n + + + writedata + Input + 32 + writedata + + + chipselect + Input + 1 + chipselect + + + readdata + Output + 32 + readdata + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + out_port + Output + 8 + export + + + + + + + embeddedsw.CMacro.CAS_LATENCY + 3 + + + embeddedsw.CMacro.CONTENTS_INFO + + + + embeddedsw.CMacro.INIT_NOP_DELAY + 0.0 + + + embeddedsw.CMacro.INIT_REFRESH_COMMANDS + 2 + + + embeddedsw.CMacro.IS_INITIALIZED + 1 + + + embeddedsw.CMacro.POWERUP_DELAY + 100.0 + + + embeddedsw.CMacro.REFRESH_PERIOD + 15.625 + + + embeddedsw.CMacro.REGISTER_DATA_IN + 1 + + + embeddedsw.CMacro.SDRAM_ADDR_WIDTH + 22 + + + embeddedsw.CMacro.SDRAM_BANK_WIDTH + 2 + + + embeddedsw.CMacro.SDRAM_COL_WIDTH + 8 + + + embeddedsw.CMacro.SDRAM_DATA_WIDTH + 16 + + + embeddedsw.CMacro.SDRAM_NUM_BANKS + 4 + + + embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS + 1 + + + embeddedsw.CMacro.SDRAM_ROW_WIDTH + 12 + + + embeddedsw.CMacro.SHARED_DATA + 0 + + + embeddedsw.CMacro.SIM_MODEL_BASE + 0 + + + embeddedsw.CMacro.STARVATION_INDICATOR + 0 + + + embeddedsw.CMacro.TRISTATE_BRIDGE_SLAVE + "" + + + embeddedsw.CMacro.T_AC + 5.5 + + + embeddedsw.CMacro.T_MRD + 3 + + + embeddedsw.CMacro.T_RCD + 20.0 + + + embeddedsw.CMacro.T_RFC + 70.0 + + + embeddedsw.CMacro.T_RP + 20.0 + + + embeddedsw.CMacro.T_WR + 14.0 + + + embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR + SIM_DIR + + + embeddedsw.memoryInfo.GENERATE_DAT_SYM + 1 + + + embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH + 16 + + + double + 5.5 + false + true + true + true + + + double + 20.0 + false + true + true + true + + + double + 70.0 + false + true + true + true + + + double + 20.0 + false + true + true + true + + + double + 14.0 + false + true + true + true + + + int + 3 + false + true + true + true + + + int + 8 + false + true + true + true + + + int + 16 + false + true + true + true + + + boolean + false + false + true + true + true + + + int + 2 + false + true + true + true + + + java.lang.String + single_Micron_MT48LC4M32B2_7_chip + false + true + false + true + + + int + 4 + false + true + true + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + double + 100.0 + false + true + true + true + + + double + 15.625 + false + true + true + true + + + int + 12 + false + true + true + true + + + int + 0 + false + false + false + true + + + long + 3 + false + true + false + true + + + double + 0.0 + false + true + false + true + + + boolean + true + false + true + false + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + java.lang.String + first_nios2_system_sdram + false + true + false + true + UNIQUE_ID + + + long + 8388608 + true + true + false + true + + + int + 22 + true + true + false + true + + + int + 2 + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 1 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8388608 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + true + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 7 + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + false + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + false + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + false + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + az_addr + Input + 22 + address + + + az_be_n + Input + 2 + byteenable_n + + + az_cs + Input + 1 + chipselect + + + az_data + Input + 16 + writedata + + + az_rd_n + Input + 1 + read_n + + + az_wr_n + Input + 1 + write_n + + + za_data + Output + 16 + readdata + + + za_valid + Output + 1 + readdatavalid + + + za_waitrequest + Output + 1 + waitrequest + + + + + + java.lang.String + + false + true + true + true + + + java.lang.String + + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + conduit + false + + zs_addr + Output + 12 + export + + + zs_ba + Output + 2 + export + + + zs_cas_n + Output + 1 + export + + + zs_cke + Output + 1 + export + + + zs_cs_n + Output + 1 + export + + + zs_dq + Bidir + 16 + export + + + zs_dqm + Output + 2 + export + + + zs_ras_n + Output + 1 + export + + + zs_we_n + Output + 1 + export + + + + + + + embeddedsw.CMacro.ALWAYS_RUN + 0 + + + embeddedsw.CMacro.COUNTER_SIZE + 32 + + + embeddedsw.CMacro.FIXED_PERIOD + 0 + + + embeddedsw.CMacro.FREQ + 50000000 + + + embeddedsw.CMacro.LOAD_VALUE + 4999 + + + embeddedsw.CMacro.MULT + 0.000001 + + + embeddedsw.CMacro.PERIOD + 100 + + + embeddedsw.CMacro.PERIOD_UNITS + us + + + embeddedsw.CMacro.RESET_OUTPUT + 0 + + + embeddedsw.CMacro.SNAPSHOT + 1 + + + embeddedsw.CMacro.TICKS_PER_SEC + 10000 + + + embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT + 0 + + + embeddedsw.dts.compatible + altr,timer-1.0 + + + embeddedsw.dts.group + timer + + + embeddedsw.dts.name + timer + + + embeddedsw.dts.params.clock-frequency + 50000000 + + + embeddedsw.dts.vendor + altr + + + boolean + false + false + true + true + true + + + int + 32 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + 100 + false + true + true + true + + + java.lang.String + USEC + false + true + true + true + + + boolean + false + false + true + true + true + + + boolean + true + false + true + true + true + + + boolean + false + false + true + true + true + + + long + 50000000 + false + true + false + true + CLOCK_RATE + clk + + + int + 2 + false + true + false + true + + + java.lang.String + FULL_FEATURED + true + true + false + true + + + java.lang.String + us + true + true + false + true + + + double + 1.0E-6 + true + true + false + true + + + java.lang.String + 4999 + true + true + false + true + + + double + 1.0E-6 + true + true + false + true + + + double + 10000.0 + true + true + false + true + + + int + 3 + true + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.Boolean + true + true + true + false + true + + + java.lang.Long + 50000000 + true + true + false + true + + clock + false + + clk + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isFlash + 0 + + + embeddedsw.configuration.isMemoryDevice + 0 + + + embeddedsw.configuration.isNonVolatileStorage + 0 + + + embeddedsw.configuration.isPrintableDevice + 0 + + + embeddedsw.configuration.isTimerDevice + 1 + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + NATIVE + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + address + Input + 3 + address + + + writedata + Input + 16 + writedata + + + readdata + Output + 16 + readdata + + + chipselect + Input + 1 + chipselect + + + write_n + Input + 1 + write_n + + + + + + com.altera.entityinterfaces.IConnectionPoint + sys_clk_timer.s1 + false + true + true + true + + + java.lang.String + clk + false + true + false + true + + + java.lang.String + reset + false + true + false + true + + + java.lang.Integer + + false + true + true + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + true + true + + + com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme + NONE + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + interrupt + false + + irq + Output + 1 + irq + + + + + + + embeddedsw.CMacro.ID + 305419896 + + + embeddedsw.CMacro.TIMESTAMP + 1648416658 + + + embeddedsw.dts.compatible + altr,sysid-1.0 + + + embeddedsw.dts.group + sysid + + + embeddedsw.dts.name + sysid + + + embeddedsw.dts.params.id + 305419896 + + + embeddedsw.dts.params.timestamp + 1648416658 + + + embeddedsw.dts.vendor + altr + + + int + 305419896 + false + true + true + true + + + int + 1648416658 + true + false + false + true + GENERATION_ID + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + + + + boolean + false + false + true + false + true + + + java.lang.String + + false + true + false + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clock + false + + clock + Input + 1 + clk + + + + + + java.lang.String + clk + false + true + true + true + + + com.altera.sopcmodel.reset.Reset$Edges + DEASSERT + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + reset + false + + reset_n + Input + 1 + reset_n + + + + + + embeddedsw.configuration.isMemoryDevice + false + + + embeddedsw.configuration.isNonVolatileStorage + false + + + embeddedsw.configuration.isPrintableDevice + false + + + com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment + DYNAMIC + false + true + false + true + + + int + 0 + false + true + false + true + + + java.math.BigInteger + 8 + true + true + false + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.lang.String + clk + false + true + true + true + + + java.lang.String + reset + false + true + true + true + + + int + 8 + false + true + true + true + + + java.math.BigInteger + + false + true + false + true + + + com.altera.entityinterfaces.IConnectionPoint + + false + true + false + true + + + boolean + false + false + true + true + true + + + com.altera.sopcmodel.avalon.EAddrBurstUnits + WORDS + false + true + true + true + + + boolean + false + false + true + false + true + + + java.math.BigInteger + 0 + false + true + true + true + + + int + 0 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + true + true + + + int + 0 + false + false + true + true + + + int + 0 + false + false + true + true + + + int + 1 + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + int + 1 + false + true + false + true + + + int + 1 + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + true + true + + + com.altera.sopcmodel.avalon.TimingUnits + Cycles + false + true + true + true + + + boolean + false + false + true + false + true + + + boolean + false + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + false + true + + + int + 0 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + avalon + false + + readdata + Output + 32 + readdata + + + address + Input + 1 + address + + + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01001038 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + jtag_uart + avalon_jtag_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01001030 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + sysid + control_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01000800 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + cpu + debug_mem_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01001000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + sys_clk_timer + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01001020 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + led_pio + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00800000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + data_master + sdram + s1 + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x01000800 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + instruction_master + cpu + debug_mem_slave + + + + int + 1 + false + true + true + true + + + java.math.BigInteger + 0x00800000 + false + true + true + true + + + boolean + false + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + instruction_master + sdram + s1 + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + cpu + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + jtag_uart + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sys_clk_timer + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sysid + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + led_pio + clk + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk + sdram + clk + + + + int + 1 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + irq + sys_clk_timer + irq + + + + int + 16 + false + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + irq + jtag_uart + irq + + + + java.lang.String + fp_add + false + true + true + true + + + java.lang.String + + true + true + true + true + + + int + 1 + false + true + false + true + + + long + 0 + false + true + true + true + + + int + -1 + true + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + custom_instruction_master + fp_add + fp_add_slave + + + + java.lang.String + fp_mul + false + true + true + true + + + java.lang.String + + true + true + true + true + + + int + 1 + false + true + false + true + + + long + 2 + false + true + true + true + + + int + -1 + true + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + custom_instruction_master + fp_mul + fp_mul_slave + + + + java.lang.String + fp_sub + false + true + true + true + + + java.lang.String + + true + true + true + true + + + int + 1 + false + true + false + true + + + long + 1 + false + true + true + true + + + int + -1 + true + true + true + true + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + cpu + custom_instruction_master + fp_sub + fp_sub_slave + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + cpu + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + jtag_uart + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + sys_clk_timer + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + sysid + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + led_pio + reset + + + + java.lang.String + UNKNOWN + false + true + true + true + + + boolean + false + false + true + true + true + + clk_0 + clk_reset + sdram + reset + + + 1 + clock_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Clock Source + 20.1 + + + 1 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 20.1 + + + 1 + reset_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Input + 20.1 + + + 1 + clock_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Output + 20.1 + + + 1 + reset_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Output + 20.1 + + + 1 + altera_nios2_gen2 + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Nios II Processor + 20.1 + + + 6 + clock_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Clock Input + 20.1 + + + 6 + reset_sink + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Input + 20.1 + + + 2 + avalon_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Master + 20.1 + + + 1 + interrupt_receiver + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Receiver + 20.1 + + + 1 + reset_source + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Reset Output + 20.1 + + + 6 + avalon_slave + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Avalon Memory Mapped Slave + 20.1 + + + 1 + nios_custom_instruction_master + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Custom Instruction Master + 20.1 + + + 1 + fp_add + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Floating Point Add + 20.1 + + + 3 + nios_custom_instruction_slave + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Custom Instruction Slave + 20.1 + + + 1 + fp_mul + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Floating Point Mul + 20.1 + + + 1 + fp_sub + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Floating Point Sub + 20.1 + + + 1 + altera_avalon_jtag_uart + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + JTAG UART Intel FPGA IP + 20.1 + + + 2 + interrupt_sender + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Interrupt Sender + 20.1 + + + 1 + altera_avalon_pio + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + PIO (Parallel I/O) Intel FPGA IP + 20.1 + + + 2 + conduit_end + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IMutableConnectionPoint + Conduit + 20.1 + + + 1 + altera_avalon_new_sdram_controller + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + SDRAM Controller Intel FPGA IP + 20.1 + + + 1 + altera_avalon_timer + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + Interval Timer Intel FPGA IP + 20.1 + + + 1 + altera_avalon_sysid_qsys + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IModule + System ID Peripheral Intel FPGA IP + 20.1 + + + 8 + avalon + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Avalon Memory Mapped Connection + 20.1 + + + 6 + clock + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Clock Connection + 20.1 + + + 2 + interrupt + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Interrupt Connection + 20.1 + + + 3 + nios_custom_instruction + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Nios II Custom Instruction Connection + 20.1 + + + 6 + reset + com.altera.entityinterfaces.IElementClass + com.altera.entityinterfaces.IConnection + Reset Connection + 20.1 + + 20.1 720 + + diff --git a/system_template_de1_soc/fix_to_fp.qip b/system_template_de1_soc/fix_to_fp.qip new file mode 100644 index 0000000..cc832bb --- /dev/null +++ b/system_template_de1_soc/fix_to_fp.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fix_to_fp" -name MISC_FILE [file join $::quartus(qip_path) "fix_to_fp.cmp"] +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_NAME "Zml4X3RvX2Zw" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fix_to_fp" -library "fix_to_fp" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_NAME "Zml4X3RvX2ZwXzAwMDI=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::Q09OVkVSVA==::RmFtaWx5" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::QURE::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::RlhQX0ZQ::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::ZmFsc2U=::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MzA=::RnJhY3Rpb24=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::NDM=::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::MzQ3::TFVUcw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fix_to_fp" -name VERILOG_FILE [file join $::quartus(qip_path) "fix_to_fp.v"] +set_global_assignment -library "fix_to_fp" -name VHDL_FILE [file join $::quartus(qip_path) "fix_to_fp/dspba_library_package.vhd"] +set_global_assignment -library "fix_to_fp" -name VHDL_FILE [file join $::quartus(qip_path) "fix_to_fp/dspba_library.vhd"] +set_global_assignment -library "fix_to_fp" -name VHDL_FILE [file join $::quartus(qip_path) "fix_to_fp/fix_to_fp_0002.vhd"] + +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fix_to_fp_0002" -library "fix_to_fp" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_add.qip b/system_template_de1_soc/fp_add.qip new file mode 100644 index 0000000..e8223cf --- /dev/null +++ b/system_template_de1_soc/fp_add.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_add" -name MISC_FILE [file join $::quartus(qip_path) "fp_add.cmp"] +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_NAME "ZnBfYWRk" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_add" -library "fp_add" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_NAME "ZnBfYWRkXzAwMDI=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::QVJJVEg=::RmFtaWx5" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::QURE::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::QURE::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::dHJ1ZQ==::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MA==::RnJhY3Rpb24=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::NzI5::TFVUcw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_add" -name VHDL_FILE [file join $::quartus(qip_path) "fp_add.vhd"] +set_global_assignment -library "fp_add" -name VHDL_FILE [file join $::quartus(qip_path) "fp_add/dspba_library_package.vhd"] +set_global_assignment -library "fp_add" -name VHDL_FILE [file join $::quartus(qip_path) "fp_add/dspba_library.vhd"] +set_global_assignment -library "fp_add" -name VHDL_FILE [file join $::quartus(qip_path) "fp_add/fp_add_0002.vhd"] + +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_add_0002" -library "fp_add" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_add.vhd b/system_template_de1_soc/fp_add.vhd new file mode 100644 index 0000000..19509e6 --- /dev/null +++ b/system_template_de1_soc/fp_add.vhd @@ -0,0 +1,114 @@ +-- megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% +-- GENERATION: XML +-- fp_add.vhd + +-- Generated using ACDS version 20.1 720 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity fp_add is + port ( + clk : in std_logic := '0'; -- clk.clk + areset : in std_logic := '0'; -- areset.reset + en : in std_logic_vector(0 downto 0) := (others => '0'); -- en.en + a : in std_logic_vector(31 downto 0) := (others => '0'); -- a.a + b : in std_logic_vector(31 downto 0) := (others => '0'); -- b.b + q : out std_logic_vector(31 downto 0) -- q.q + ); +end entity fp_add; + +architecture rtl of fp_add is + component fp_add_0002 is + port ( + clk : in std_logic := 'X'; -- clk + areset : in std_logic := 'X'; -- reset + en : in std_logic_vector(0 downto 0) := (others => 'X'); -- en + a : in std_logic_vector(31 downto 0) := (others => 'X'); -- a + b : in std_logic_vector(31 downto 0) := (others => 'X'); -- b + q : out std_logic_vector(31 downto 0) -- q + ); + end component fp_add_0002; + +begin + + fp_add_inst : component fp_add_0002 + port map ( + clk => clk, -- clk.clk + areset => areset, -- areset.reset + en => en, -- en.en + a => a, -- a.a + b => b, -- b.b + q => q -- q.q + ); + +end architecture rtl; -- of fp_add +-- Retrieval info: +-- +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- IPFS_FILES : fp_add.vho +-- RELATED_FILES: fp_add.vhd, dspba_library_package.vhd, dspba_library.vhd, fp_add_0002.vhd diff --git a/system_template_de1_soc/fp_add_hw.tcl b/system_template_de1_soc/fp_add_hw.tcl new file mode 100644 index 0000000..b6ba646 --- /dev/null +++ b/system_template_de1_soc/fp_add_hw.tcl @@ -0,0 +1,73 @@ +# TCL File Generated by Component Editor 20.1 +# Wed Mar 09 17:06:57 GMT 2022 +# DO NOT MODIFY + + +# +# fp_add "Floating Point Add" v20.1 +# 2022.03.09.17:06:57 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module fp_add +# +set_module_property DESCRIPTION "" +set_module_property NAME fp_add +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Floating Point Add" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fp_add +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fp_add.vhd VHDL PATH fp_add.vhd TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fp_add_slave +# +add_interface fp_add_slave nios_custom_instruction end +set_interface_property fp_add_slave clockCycle 0 +set_interface_property fp_add_slave operands 2 +set_interface_property fp_add_slave ENABLED true +set_interface_property fp_add_slave EXPORT_OF "" +set_interface_property fp_add_slave PORT_NAME_MAP "" +set_interface_property fp_add_slave CMSIS_SVD_VARIABLES "" +set_interface_property fp_add_slave SVD_ADDRESS_GROUP "" + +add_interface_port fp_add_slave areset reset Input 1 +add_interface_port fp_add_slave en clk_en Input 1 +add_interface_port fp_add_slave a dataa Input 32 +add_interface_port fp_add_slave b datab Input 32 +add_interface_port fp_add_slave q result Output 32 +add_interface_port fp_add_slave clk clk Input 1 + diff --git a/system_template_de1_soc/fp_mul.qip b/system_template_de1_soc/fp_mul.qip new file mode 100644 index 0000000..23ac3b2 --- /dev/null +++ b/system_template_de1_soc/fp_mul.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_mul" -name MISC_FILE [file join $::quartus(qip_path) "fp_mul.cmp"] +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_NAME "ZnBfbXVs" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_mul" -library "fp_mul" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_NAME "ZnBfbXVsXzAwMDI=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::QVJJVEg=::RmFtaWx5" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::TVVM::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::TVVM::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::dHJ1ZQ==::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MA==::RnJhY3Rpb24=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::Mg==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::MTg1::TFVUcw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_mul" -name VHDL_FILE [file join $::quartus(qip_path) "fp_mul.vhd"] +set_global_assignment -library "fp_mul" -name VHDL_FILE [file join $::quartus(qip_path) "fp_mul/dspba_library_package.vhd"] +set_global_assignment -library "fp_mul" -name VHDL_FILE [file join $::quartus(qip_path) "fp_mul/dspba_library.vhd"] +set_global_assignment -library "fp_mul" -name VHDL_FILE [file join $::quartus(qip_path) "fp_mul/fp_mul_0002.vhd"] + +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_mul_0002" -library "fp_mul" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_mul.vhd b/system_template_de1_soc/fp_mul.vhd new file mode 100644 index 0000000..39d5ae0 --- /dev/null +++ b/system_template_de1_soc/fp_mul.vhd @@ -0,0 +1,114 @@ +-- megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% +-- GENERATION: XML +-- fp_mul.vhd + +-- Generated using ACDS version 20.1 720 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity fp_mul is + port ( + clk : in std_logic := '0'; -- clk.clk + areset : in std_logic := '0'; -- areset.reset + en : in std_logic_vector(0 downto 0) := (others => '0'); -- en.en + a : in std_logic_vector(31 downto 0) := (others => '0'); -- a.a + b : in std_logic_vector(31 downto 0) := (others => '0'); -- b.b + q : out std_logic_vector(31 downto 0) -- q.q + ); +end entity fp_mul; + +architecture rtl of fp_mul is + component fp_mul_0002 is + port ( + clk : in std_logic := 'X'; -- clk + areset : in std_logic := 'X'; -- reset + en : in std_logic_vector(0 downto 0) := (others => 'X'); -- en + a : in std_logic_vector(31 downto 0) := (others => 'X'); -- a + b : in std_logic_vector(31 downto 0) := (others => 'X'); -- b + q : out std_logic_vector(31 downto 0) -- q + ); + end component fp_mul_0002; + +begin + + fp_mul_inst : component fp_mul_0002 + port map ( + clk => clk, -- clk.clk + areset => areset, -- areset.reset + en => en, -- en.en + a => a, -- a.a + b => b, -- b.b + q => q -- q.q + ); + +end architecture rtl; -- of fp_mul +-- Retrieval info: +-- +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- IPFS_FILES : fp_mul.vho +-- RELATED_FILES: fp_mul.vhd, dspba_library_package.vhd, dspba_library.vhd, fp_mul_0002.vhd diff --git a/system_template_de1_soc/fp_mul_hw.tcl b/system_template_de1_soc/fp_mul_hw.tcl new file mode 100644 index 0000000..245c938 --- /dev/null +++ b/system_template_de1_soc/fp_mul_hw.tcl @@ -0,0 +1,73 @@ +# TCL File Generated by Component Editor 20.1 +# Sun Mar 27 16:58:15 BST 2022 +# DO NOT MODIFY + + +# +# fp_mul "Floating Point Mul" v20.1 +# 2022.03.27.16:58:15 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module fp_mul +# +set_module_property DESCRIPTION "" +set_module_property NAME fp_mul +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Floating Point Mul" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fp_mul +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fp_mul.vhd VHDL PATH fp_mul.vhd TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fp_mul_slave +# +add_interface fp_mul_slave nios_custom_instruction end +set_interface_property fp_mul_slave clockCycle 0 +set_interface_property fp_mul_slave operands 2 +set_interface_property fp_mul_slave ENABLED true +set_interface_property fp_mul_slave EXPORT_OF "" +set_interface_property fp_mul_slave PORT_NAME_MAP "" +set_interface_property fp_mul_slave CMSIS_SVD_VARIABLES "" +set_interface_property fp_mul_slave SVD_ADDRESS_GROUP "" + +add_interface_port fp_mul_slave areset reset Input 1 +add_interface_port fp_mul_slave en clk_en Input 1 +add_interface_port fp_mul_slave a dataa Input 32 +add_interface_port fp_mul_slave b datab Input 32 +add_interface_port fp_mul_slave q result Output 32 +add_interface_port fp_mul_slave clk clk Input 1 + diff --git a/system_template_de1_soc/fp_sub.qip b/system_template_de1_soc/fp_sub.qip new file mode 100644 index 0000000..8742458 --- /dev/null +++ b/system_template_de1_soc/fp_sub.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_sub" -name MISC_FILE [file join $::quartus(qip_path) "fp_sub.cmp"] +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_NAME "ZnBfc3Vi" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_sub" -library "fp_sub" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_NAME "ZnBfc3ViXzAwMDI=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::QVJJVEg=::RmFtaWx5" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::U1VC::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::U1VC::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::dHJ1ZQ==::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MA==::RnJhY3Rpb24=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::NzI5::TFVUcw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_sub" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sub.vhd"] +set_global_assignment -library "fp_sub" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sub/dspba_library_package.vhd"] +set_global_assignment -library "fp_sub" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sub/dspba_library.vhd"] +set_global_assignment -library "fp_sub" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sub/fp_sub_0002.vhd"] + +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_sub_0002" -library "fp_sub" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_sub.vhd b/system_template_de1_soc/fp_sub.vhd new file mode 100644 index 0000000..0e5606f --- /dev/null +++ b/system_template_de1_soc/fp_sub.vhd @@ -0,0 +1,114 @@ +-- megafunction wizard: %FP_FUNCTIONS Intel FPGA IP v20.1% +-- GENERATION: XML +-- fp_sub.vhd + +-- Generated using ACDS version 20.1 720 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity fp_sub is + port ( + clk : in std_logic := '0'; -- clk.clk + areset : in std_logic := '0'; -- areset.reset + en : in std_logic_vector(0 downto 0) := (others => '0'); -- en.en + a : in std_logic_vector(31 downto 0) := (others => '0'); -- a.a + b : in std_logic_vector(31 downto 0) := (others => '0'); -- b.b + q : out std_logic_vector(31 downto 0) -- q.q + ); +end entity fp_sub; + +architecture rtl of fp_sub is + component fp_sub_0002 is + port ( + clk : in std_logic := 'X'; -- clk + areset : in std_logic := 'X'; -- reset + en : in std_logic_vector(0 downto 0) := (others => 'X'); -- en + a : in std_logic_vector(31 downto 0) := (others => 'X'); -- a + b : in std_logic_vector(31 downto 0) := (others => 'X'); -- b + q : out std_logic_vector(31 downto 0) -- q + ); + end component fp_sub_0002; + +begin + + fp_sub_inst : component fp_sub_0002 + port map ( + clk => clk, -- clk.clk + areset => areset, -- areset.reset + en => en, -- en.en + a => a, -- a.a + b => b, -- b.b + q => q -- q.q + ); + +end architecture rtl; -- of fp_sub +-- Retrieval info: +-- +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- Retrieval info: +-- IPFS_FILES : fp_sub.vho +-- RELATED_FILES: fp_sub.vhd, dspba_library_package.vhd, dspba_library.vhd, fp_sub_0002.vhd diff --git a/system_template_de1_soc/fp_sub_hw.tcl b/system_template_de1_soc/fp_sub_hw.tcl new file mode 100644 index 0000000..d5d807d --- /dev/null +++ b/system_template_de1_soc/fp_sub_hw.tcl @@ -0,0 +1,73 @@ +# TCL File Generated by Component Editor 20.1 +# Wed Mar 09 17:15:52 GMT 2022 +# DO NOT MODIFY + + +# +# fp_sub "Floating Point Sub" v20.1 +# 2022.03.09.17:15:52 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module fp_sub +# +set_module_property DESCRIPTION "" +set_module_property NAME fp_sub +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Floating Point Sub" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fp_sub +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fp_sub.vhd VHDL PATH fp_sub.vhd TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fp_sub_slave +# +add_interface fp_sub_slave nios_custom_instruction end +set_interface_property fp_sub_slave clockCycle 0 +set_interface_property fp_sub_slave operands 2 +set_interface_property fp_sub_slave ENABLED true +set_interface_property fp_sub_slave EXPORT_OF "" +set_interface_property fp_sub_slave PORT_NAME_MAP "" +set_interface_property fp_sub_slave CMSIS_SVD_VARIABLES "" +set_interface_property fp_sub_slave SVD_ADDRESS_GROUP "" + +add_interface_port fp_sub_slave areset reset Input 1 +add_interface_port fp_sub_slave en clk_en Input 1 +add_interface_port fp_sub_slave a dataa Input 32 +add_interface_port fp_sub_slave b datab Input 32 +add_interface_port fp_sub_slave q result Output 32 +add_interface_port fp_sub_slave clk clk Input 1 + diff --git a/system_template_de1_soc/fp_sum.qip b/system_template_de1_soc/fp_sum.qip new file mode 100644 index 0000000..c0ab2be --- /dev/null +++ b/system_template_de1_soc/fp_sum.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_sum" -name MISC_FILE [file join $::quartus(qip_path) "fp_sum.cmp"] +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_NAME "ZnBfc3Vt" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_sum" -library "fp_sum" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_NAME "ZnBfc3VtXzAwMDI=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::QVJJVEg=::RmFtaWx5" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::QURE::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlhQX0ZQ::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::QURE::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::Mg==::VGFyZ2V0" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyB0byBldmVu::TW9kZQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::dHJ1ZQ==::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MA==::RnJhY3Rpb24=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::Mg==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::c2luZ2xl::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::OA==::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::OA==::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::NzI5::TFVUcw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_sum" -name VERILOG_FILE [file join $::quartus(qip_path) "fp_sum.v"] +set_global_assignment -library "fp_sum" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sum/dspba_library_package.vhd"] +set_global_assignment -library "fp_sum" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sum/dspba_library.vhd"] +set_global_assignment -library "fp_sum" -name VHDL_FILE [file join $::quartus(qip_path) "fp_sum/fp_sum_0002.vhd"] + +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_sum_0002" -library "fp_sum" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fp_sum_hw.tcl b/system_template_de1_soc/fp_sum_hw.tcl new file mode 100644 index 0000000..c3d4c3f --- /dev/null +++ b/system_template_de1_soc/fp_sum_hw.tcl @@ -0,0 +1,73 @@ +# TCL File Generated by Component Editor 20.1 +# Sun Mar 27 15:35:43 BST 2022 +# DO NOT MODIFY + + +# +# fp_sum "Floating Point Sum" v20.1 +# 2022.03.27.15:35:43 +# +# + +# +# request TCL package from ACDS 16.1 +# +package require -exact qsys 16.1 + + +# +# module fp_sum +# +set_module_property DESCRIPTION "" +set_module_property NAME fp_sum +set_module_property VERSION 20.1 +set_module_property INTERNAL false +set_module_property OPAQUE_ADDRESS_MAP true +set_module_property AUTHOR "" +set_module_property DISPLAY_NAME "Floating Point Sum" +set_module_property INSTANTIATE_IN_SYSTEM_MODULE true +set_module_property EDITABLE true +set_module_property REPORT_TO_TALKBACK false +set_module_property ALLOW_GREYBOX_GENERATION false +set_module_property REPORT_HIERARCHY false + + +# +# file sets +# +add_fileset QUARTUS_SYNTH QUARTUS_SYNTH "" "" +set_fileset_property QUARTUS_SYNTH TOP_LEVEL fp_sum +set_fileset_property QUARTUS_SYNTH ENABLE_RELATIVE_INCLUDE_PATHS false +set_fileset_property QUARTUS_SYNTH ENABLE_FILE_OVERWRITE_MODE false +add_fileset_file fp_sum.v VERILOG PATH fp_sum.v TOP_LEVEL_FILE + + +# +# parameters +# + + +# +# display items +# + + +# +# connection point fp_sum_slave +# +add_interface fp_sum_slave nios_custom_instruction end +set_interface_property fp_sum_slave clockCycle 0 +set_interface_property fp_sum_slave operands 2 +set_interface_property fp_sum_slave ENABLED true +set_interface_property fp_sum_slave EXPORT_OF "" +set_interface_property fp_sum_slave PORT_NAME_MAP "" +set_interface_property fp_sum_slave CMSIS_SVD_VARIABLES "" +set_interface_property fp_sum_slave SVD_ADDRESS_GROUP "" + +add_interface_port fp_sum_slave areset reset Input 1 +add_interface_port fp_sum_slave en clk_en Input 1 +add_interface_port fp_sum_slave a dataa Input 32 +add_interface_port fp_sum_slave b datab Input 32 +add_interface_port fp_sum_slave q result Output 32 +add_interface_port fp_sum_slave clk clk Input 1 + diff --git a/system_template_de1_soc/fp_to_fix.qip b/system_template_de1_soc/fp_to_fix.qip new file mode 100644 index 0000000..b6f5099 --- /dev/null +++ b/system_template_de1_soc/fp_to_fix.qip @@ -0,0 +1,86 @@ +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "fp_to_fix" -name MISC_FILE [file join $::quartus(qip_path) "fp_to_fix.cmp"] +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_NAME "ZnBfdG9fZml4" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_to_fix" -library "fp_to_fix" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_NAME "ZnBfdG9fZml4XzAwMDI=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_DISPLAY_NAME "RlBfRlVOQ1RJT05TIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_VERSION "MjAuMQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_DESCRIPTION "QSBjb2xsZWN0aW9uIG9mIGZsb2F0aW5nIHBvaW50IGZ1bmN0aW9ucw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "RlVOQ1RJT05fRkFNSUxZ::Q09OVkVSVA==::RmFtaWx5" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "QVJJVEhfZnVuY3Rpb24=::QURE::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Q09OVkVSVF9mdW5jdGlvbg==::RlBfRlhQ::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "QUxMX2Z1bmN0aW9u::QURE::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "RVhQX0xPR19mdW5jdGlvbg==::RVhQRQ==::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "VFJJR19mdW5jdGlvbg==::U0lO::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Q09NUEFSRV9mdW5jdGlvbg==::TUlO::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Uk9PVFNfZnVuY3Rpb24=::U1FSVA==::TmFtZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZGZ1bmN0aW9u::RlBfRlhQ::ZGVyaXZlZGZ1bmN0aW9u" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfZm9ybWF0::c2luZ2xl::Rm9ybWF0" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfZXhw::OA==::RXhwb25lbnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfZXhwX2Rlcml2ZWQ=::OA==::ZnBfZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfbWFu::MjM=::TWFudGlzc2E=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfbWFuX2Rlcml2ZWQ=::MjM=::ZnBfbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZXhwb25lbnRfd2lkdGg=::MjM=::RXhwb25lbnQgV2lkdGg=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X3RhcmdldA==::NTA=::VGFyZ2V0" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV90YXJnZXQ=::MQ==::VGFyZ2V0" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "cGVyZm9ybWFuY2VfZ29hbA==::Y29tYmluZWQ=::R29hbA==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZQ==::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "cm91bmRpbmdfbW9kZV9kZXJpdmVk::bmVhcmVzdCB3aXRoIHRpZSBicmVha2luZyBhd2F5IGZyb20gemVybw==::TW9kZQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "dXNlX3JvdW5kaW5nX21vZGU=::ZmFsc2U=::dXNlX3JvdW5kaW5nX21vZGU=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZmFpdGhmdWxfcm91bmRpbmc=::ZmFsc2U=::UmVsYXggcm91bmRpbmcgdG8gcm91bmQgdXAgb3IgZG93biB0byByZWR1Y2UgcmVzb3VyY2UgdXNhZ2U=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Z2VuX2VuYWJsZQ==::dHJ1ZQ==::R2VuZXJhdGUgYW4gZW5hYmxlIHBvcnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZGl2aWRlX3R5cGU=::MA==::TWV0aG9k" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "c2VsZWN0X3NpZ25hbF9lbmFibGU=::ZmFsc2U=::VXNlIFNlbGVjdCBTaWduYWw=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "c2NhbGVfYnlfcGk=::ZmFsc2U=::UmVwcmVzZW50IGFuZ2xlIGFzIG11bHRpcGxlIG9mIFBp" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2lucHV0cw==::Mg==::SW5wdXQgVmVjdG9yIERpbWVuc2lvbg==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "dHJpZ19ub19yYW5nZV9yZWR1Y3Rpb24=::ZmFsc2U=::SW5wdXRzIGFyZSB3aXRoaW4gcmFuZ2UgLTJwaSB0byArMnBp" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "cmVwb3J0X3Jlc291cmNlc190b194bWw=::ZmFsc2U=::cmVwb3J0X3Jlc291cmNlc190b194bWw=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnhwdF93aWR0aA==::MzI=::V2lkdGg=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnhwdF9mcmFjdGlvbg==::MzA=::RnJhY3Rpb24=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnhwdF9zaWdu::MQ==::U2lnbg==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnJlcXVlbmN5X2ZlZWRiYWNr::MA==::ZnJlcXVlbmN5X2ZlZWRiYWNr" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "bGF0ZW5jeV9mZWVkYmFjaw==::MQ==::bGF0ZW5jeV9mZWVkYmFjaw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Zm9yY2VfZWxhYm9yYXRl::MA==::Zm9yY2VfZWxhYm9yYXRl" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2Zvcm1hdA==::Y3VzdG9t::T3V0cHV0IEZvcm1hdA==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cA==::OA==::T3V0cHV0IEV4cG9uZW50" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X2V4cF9kZXJpdmVk::OA==::ZnBfb3V0X2V4cF9kZXJpdmVk" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbg==::MjM=::T3V0cHV0IE1hbnRpc3Nh" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfb3V0X21hbl9kZXJpdmVk::MjM=::ZnBfb3V0X21hbl9kZXJpdmVk" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZm9ybWF0::c2luZ2xl::SW5wdXQgRm9ybWF0" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhw::OA==::SW5wdXQgRXhwb25lbnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fZXhwX2Rlcml2ZWQ=::OA==::ZnBfaW5fZXhwX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFu::MjM=::SW5wdXQgTWFudGlzc2E=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZnBfaW5fbWFuX2Rlcml2ZWQ=::MjM=::ZnBfaW5fbWFuX2Rlcml2ZWQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "ZW5hYmxlX2hhcmRfZnA=::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "bWFudWFsX2RzcF9wbGFubmluZw==::dHJ1ZQ==::RW5hYmxlIEhhcmQgRmxvYXRpbmcgUG9pbnQ=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "Zm9yY2VSZWdpc3RlcnM=::MTExMQ==::Zm9yY2VSZWdpc3RlcnM=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "UkVTX0RTUF9wYXJhbQ==::MA==::TXVsdGlwbGllcw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "UkVTX0xVVF9wYXJhbQ==::MzA2::TFVUcw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "UkVTX01CSVRfcGFyYW0=::MA==::TWVtb3J5IEJpdHM=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "UkVTX01CTE9DS19wYXJhbQ==::MA==::TWVtb3J5IEJsb2Nrcw==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==::Q3ljbG9uZSBW::c2VsZWN0ZWRfZGV2aWNlX2ZhbWlseQ==" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=::Nw==::c2VsZWN0ZWRfZGV2aWNlX3NwZWVkZ3JhZGU=" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_COMPONENT_PARAMETER "dmFsaWRhdGlvbl9mYWlsZWQ=::ZmFsc2U=::dmFsaWRhdGlvbl9mYWlsZWQ=" + +set_global_assignment -library "fp_to_fix" -name VERILOG_FILE [file join $::quartus(qip_path) "fp_to_fix.v"] +set_global_assignment -library "fp_to_fix" -name VHDL_FILE [file join $::quartus(qip_path) "fp_to_fix/dspba_library_package.vhd"] +set_global_assignment -library "fp_to_fix" -name VHDL_FILE [file join $::quartus(qip_path) "fp_to_fix/dspba_library.vhd"] +set_global_assignment -library "fp_to_fix" -name VHDL_FILE [file join $::quartus(qip_path) "fp_to_fix/fp_to_fix_0002.vhd"] + +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_TOOL_NAME "altera_fp_functions" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_TOOL_VERSION "20.1" +set_global_assignment -entity "fp_to_fix_0002" -library "fp_to_fix" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/fullfunction.bdf b/system_template_de1_soc/fullfunction.bdf new file mode 100644 index 0000000..bc8736d --- /dev/null +++ b/system_template_de1_soc/fullfunction.bdf @@ -0,0 +1,2012 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 16 8 192 24) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "dataa[31..0]" (rect 9 0 68 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 -64 192 -48) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 -40 192 -24) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "reset" (rect 9 0 33 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 -16 192 0) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "en" (rect 9 0 20 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 16 32 192 48) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "start" (rect 9 0 31 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 920 184 1096 200) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "done" (rect 90 0 113 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 800 -64 976 -48) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result[31..0]" (rect 90 0 149 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 16 56 48 72) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 1040 -64 1072 -48) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 5 32 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 72 200 136 280) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst1" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 72 304 136 384) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst2" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 248 -64 392 200) + (text "fp_mul" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst4" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 408 336 504 400) + (text "fp_div128" (rect 5 0 54 12)(font "Arial" )) + (text "00_inst5" (rect 8 48 49 60)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 19 80 31)(font "Arial" )) + (line (pt 0 24)(pt 16 24)(line_width 3)) + ) + (port + (pt 96 40) + (output) + (text "result[31..0]" (rect -96 0 -37 12)(font "Arial" )) + (text "result[31..0]" (rect 26 35 85 47)(font "Arial" )) + (line (pt 96 40)(pt 80 40)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 80 48)) + ) +) +(symbol + (rect 248 200 392 464) + (text "fp_sub" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst7" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 448 -64 592 200) + (text "fp_mul" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst8" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 640 -64 784 200) + (text "fp_add" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst9" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 824 -32 888 48) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst11" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 936 232 1080 456) + (text "fix_to_fp" (rect 46 -1 102 15)(font "Arial" (font_size 10))) + (text "inst" (rect 8 208 25 220)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 208 159 220)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 208)) + (line (pt 48 208)(pt 96 208)) + (line (pt 48 32)(pt 48 208)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 224)) + (line (pt 0 224)(pt 144 224)) + (line (pt 0 0)(pt 0 224)) + ) +) +(symbol + (rect 552 232 696 456) + (text "fp_to_fix" (rect 46 -1 102 15)(font "Arial" (font_size 10))) + (text "inst1" (rect 8 208 31 220)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 208 159 220)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 208)) + (line (pt 48 208)(pt 96 208)) + (line (pt 48 32)(pt 48 208)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 224)) + (line (pt 0 224)(pt 144 224)) + (line (pt 0 0)(pt 0 224)) + ) +) +(symbol + (rect 408 408 504 472) + (text "fp_div2" (rect 5 0 42 12)(font "Arial" )) + (text "00_inst6" (rect 8 48 49 60)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 19 80 31)(font "Arial" )) + (line (pt 0 24)(pt 16 24)(line_width 3)) + ) + (port + (pt 96 40) + (output) + (text "result[31..0]" (rect -96 0 -37 12)(font "Arial" )) + (text "result[31..0]" (rect 26 35 85 47)(font "Arial" )) + (line (pt 96 40)(pt 80 40)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 80 48)) + ) +) +(symbol + (rect 72 96 136 176) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst0" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 904 72 968 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst15" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 984 72 1048 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst16" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 904 -32 968 48) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst12" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 824 72 888 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst14" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 984 -32 1048 48) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst13" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 752 232 880 376) + (text "cordic" (rect 5 0 34 12)(font "Arial" )) + (text "00_inst10" (rect 8 128 55 140)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "clk_en" (rect 0 0 31 12)(font "Arial" )) + (text "clk_en" (rect 21 43 52 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "start" (rect 0 0 22 12)(font "Arial" )) + (text "start" (rect 21 59 43 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "reset" (rect 0 0 24 12)(font "Arial" )) + (text "reset" (rect 21 75 45 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 91 80 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "result[31..0]" (rect -64 0 -5 12)(font "Arial" )) + (text "result[31..0]" (rect 58 27 117 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)(line_width 3)) + ) + (port + (pt 128 48) + (output) + (text "done" (rect -64 0 -41 12)(font "Arial" )) + (text "done" (rect 88 43 111 55)(font "Arial" )) + (line (pt 128 48)(pt 112 48)) + ) + (parameter + "stages" + "16" + "" + (type "PARAMETER_UNSIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 112 128)) + ) + (annotation_block (parameter)(rect 720 384 880 424)) +) +(symbol + (rect 1072 72 1136 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst17" (rect 3 68 49 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (rectangle (rect 8 8 56 72)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 32 400 176 480) + (text "const128" (rect 5 0 49 12)(font "Arial" )) + (text "inst3" (rect 8 64 30 81)(font "Intel Clear" )) + (port + (pt 144 32) + (output) + (text "result[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "result[31..0]" (rect 74 27 133 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 128 64)) + ) +) +(connector + (pt 392 272) + (pt 400 272) + (bus) +) +(connector + (pt 392 8) + (pt 400 8) + (bus) +) +(connector + (pt 400 8) + (pt 400 128) + (bus) +) +(connector + (pt 400 128) + (pt 448 128) + (bus) +) +(connector + (text "clk" (rect 424 -8 438 9)(font "Intel Clear" )) + (pt 448 8) + (pt 416 8) +) +(connector + (text "reset" (rect 424 32 447 49)(font "Intel Clear" )) + (pt 448 48) + (pt 416 48) +) +(connector + (text "en" (rect 424 72 435 89)(font "Intel Clear" )) + (pt 448 88) + (pt 416 88) +) +(connector + (pt 400 224) + (pt 400 168) + (bus) +) +(connector + (pt 400 168) + (pt 448 168) + (bus) +) +(connector + (text "clk" (rect 216 -8 230 9)(font "Intel Clear" )) + (pt 248 8) + (pt 208 8) +) +(connector + (text "reset" (rect 216 32 239 49)(font "Intel Clear" )) + (pt 248 48) + (pt 208 48) +) +(connector + (text "en" (rect 216 72 227 89)(font "Intel Clear" )) + (pt 248 88) + (pt 208 88) +) +(connector + (text "clk" (rect 216 256 230 273)(font "Intel Clear" )) + (pt 248 272) + (pt 208 272) +) +(connector + (text "reset" (rect 216 296 239 313)(font "Intel Clear" )) + (pt 248 312) + (pt 208 312) +) +(connector + (text "en" (rect 216 336 227 353)(font "Intel Clear" )) + (pt 248 352) + (pt 208 352) +) +(connector + (pt 248 392) + (pt 240 392) + (bus) +) +(connector + (pt 248 168) + (pt 240 168) + (bus) +) +(connector + (pt 240 128) + (pt 248 128) + (bus) +) +(connector + (pt 600 216) + (pt 600 168) + (bus) +) +(connector + (pt 600 168) + (pt 640 168) + (bus) +) +(connector + (text "en" (rect 616 72 627 89)(font "Intel Clear" )) + (pt 640 88) + (pt 608 88) +) +(connector + (text "reset" (rect 616 32 639 49)(font "Intel Clear" )) + (pt 640 48) + (pt 608 48) +) +(connector + (text "clk" (rect 616 -8 630 9)(font "Intel Clear" )) + (pt 640 8) + (pt 608 8) +) +(connector + (pt 888 -8) + (pt 904 -8) +) +(connector + (pt 936 -32) + (pt 936 -40) +) +(connector + (pt 856 -32) + (pt 856 -40) +) +(connector + (pt 936 152) + (pt 936 160) +) +(connector + (pt 856 152) + (pt 856 160) +) +(connector + (pt 856 48) + (pt 856 56) +) +(connector + (pt 936 48) + (pt 936 56) +) +(connector + (pt 856 56) + (pt 856 72) +) +(connector + (pt 936 56) + (pt 936 72) +) +(connector + (pt 856 160) + (pt 936 160) +) +(connector + (pt 888 96) + (pt 904 96) +) +(connector + (pt 904 8) + (pt 896 8) +) +(connector + (pt 904 112) + (pt 896 112) +) +(connector + (pt 896 8) + (pt 896 112) +) +(connector + (pt 896 112) + (pt 896 168) +) +(connector + (pt 592 8) + (pt 600 8) + (bus) +) +(connector + (pt 600 8) + (pt 600 128) + (bus) +) +(connector + (pt 640 128) + (pt 600 128) + (bus) +) +(connector + (text "clk" (rect 192 -72 206 -55)(font "Intel Clear" )) + (pt 192 -56) + (pt 224 -56) +) +(connector + (text "reset" (rect 192 -48 215 -31)(font "Intel Clear" )) + (pt 192 -32) + (pt 224 -32) +) +(connector + (text "en" (rect 192 -24 203 -7)(font "Intel Clear" )) + (pt 192 -8) + (pt 224 -8) +) +(connector + (text "en" (rect 528 368 539 385)(font "Intel Clear" )) + (pt 552 384) + (pt 520 384) +) +(connector + (text "reset" (rect 528 328 551 345)(font "Intel Clear" )) + (pt 552 344) + (pt 520 344) +) +(connector + (text "clk" (rect 528 288 542 305)(font "Intel Clear" )) + (pt 552 304) + (pt 520 304) +) +(connector + (pt 512 424) + (pt 552 424) + (bus) +) +(connector + (pt 696 304) + (pt 704 304) + (bus) +) +(connector + (pt 704 304) + (pt 704 328) + (bus) +) +(connector + (text "clk" (rect 728 248 742 265)(font "Intel Clear" )) + (pt 720 264) + (pt 752 264) +) +(connector + (text "en" (rect 728 264 739 281)(font "Intel Clear" )) + (pt 720 280) + (pt 752 280) +) +(connector + (text "reset" (rect 728 296 751 313)(font "Intel Clear" )) + (pt 720 312) + (pt 752 312) +) +(connector + (pt 704 328) + (pt 752 328) + (bus) +) +(connector + (pt 712 480) + (pt 712 296) +) +(connector + (pt 752 296) + (pt 712 296) +) +(connector + (pt 968 -8) + (pt 984 -8) +) +(connector + (pt 856 -40) + (pt 936 -40) +) +(connector + (pt 1016 -40) + (pt 1016 -32) +) +(connector + (pt 936 -40) + (pt 1016 -40) +) +(connector + (pt 1016 -40) + (pt 1056 -40) +) +(connector + (pt 1016 152) + (pt 1016 160) +) +(connector + (pt 856 56) + (pt 936 56) +) +(connector + (pt 1016 48) + (pt 1016 56) +) +(connector + (pt 1016 56) + (pt 1016 72) +) +(connector + (pt 936 56) + (pt 1016 56) +) +(connector + (pt 1056 -48) + (pt 1056 -40) +) +(connector + (pt 936 160) + (pt 1016 160) +) +(connector + (pt 1056 -40) + (pt 1056 56) +) +(connector + (pt 1056 56) + (pt 1056 160) +) +(connector + (pt 1048 -8) + (pt 1064 -8) +) +(connector + (pt 1064 -8) + (pt 1064 64) +) +(connector + (pt 968 96) + (pt 984 96) +) +(connector + (pt 984 8) + (pt 976 8) +) +(connector + (pt 984 112) + (pt 976 112) +) +(connector + (pt 976 8) + (pt 976 112) +) +(connector + (pt 976 112) + (pt 976 168) +) +(connector + (pt 824 8) + (pt 816 8) +) +(connector + (pt 816 112) + (pt 824 112) +) +(connector + (pt 816 8) + (pt 816 112) +) +(connector + (pt 816 112) + (pt 816 168) +) +(connector + (pt 808 64) + (pt 1064 64) +) +(connector + (pt 808 64) + (pt 808 96) +) +(connector + (pt 824 96) + (pt 808 96) +) +(connector + (pt 240 16) + (pt 192 16) + (bus) +) +(connector + (pt 240 16) + (pt 240 128) + (bus) +) +(connector + (pt 240 128) + (pt 240 168) + (bus) +) +(connector + (pt 200 40) + (pt 192 40) +) +(connector + (pt 64 224) + (pt 72 224) +) +(connector + (pt 72 328) + (pt 64 328) +) +(connector + (pt 136 224) + (pt 144 224) +) +(connector + (text "clk" (rect 48 224 62 241)(font "Intel Clear" )) + (pt 72 240) + (pt 40 240) +) +(connector + (text "clk" (rect 48 328 62 345)(font "Intel Clear" )) + (pt 72 344) + (pt 40 344) +) +(connector + (pt 144 120) + (pt 136 120) +) +(connector + (pt 104 96) + (pt 104 88) +) +(connector + (pt 104 88) + (pt 32 88) +) +(connector + (pt 32 72) + (pt 32 88) +) +(connector + (pt 104 192) + (pt 32 192) +) +(connector + (pt 32 88) + (pt 32 192) +) +(connector + (pt 104 176) + (pt 104 192) +) +(connector + (pt 104 192) + (pt 104 200) +) +(connector + (pt 144 184) + (pt 144 120) +) +(connector + (pt 144 184) + (pt 64 184) +) +(connector + (pt 64 184) + (pt 64 224) +) +(connector + (text "clk" (rect 48 120 62 137)(font "Intel Clear" )) + (pt 72 136) + (pt 40 136) +) +(connector + (pt 200 40) + (pt 200 80) +) +(connector + (pt 200 80) + (pt 64 80) +) +(connector + (pt 64 80) + (pt 64 120) +) +(connector + (pt 64 120) + (pt 72 120) +) +(connector + (pt 64 328) + (pt 64 288) +) +(connector + (pt 144 224) + (pt 144 288) +) +(connector + (pt 64 288) + (pt 144 288) +) +(connector + (pt 104 296) + (pt 32 296) +) +(connector + (pt 104 304) + (pt 104 296) +) +(connector + (pt 104 296) + (pt 104 280) +) +(connector + (pt 32 192) + (pt 32 296) +) +(connector + (pt 32 296) + (pt 32 392) +) +(connector + (pt 104 392) + (pt 32 392) +) +(connector + (pt 104 392) + (pt 104 384) +) +(connector + (pt 800 208) + (pt 888 208) +) +(connector + (pt 888 208) + (pt 888 280) +) +(connector + (pt 880 280) + (pt 888 280) +) +(connector + (pt 880 264) + (pt 896 264) + (bus) +) +(connector + (text "clk" (rect 912 288 926 305)(font "Intel Clear" )) + (pt 904 304) + (pt 936 304) +) +(connector + (text "reset" (rect 912 328 935 345)(font "Intel Clear" )) + (pt 904 344) + (pt 936 344) +) +(connector + (text "en" (rect 912 368 923 385)(font "Intel Clear" )) + (pt 904 384) + (pt 936 384) +) +(connector + (pt 896 424) + (pt 936 424) + (bus) +) +(connector + (pt 896 264) + (pt 896 424) + (bus) +) +(connector + (pt 400 224) + (pt 1088 224) + (bus) +) +(connector + (pt 1088 224) + (pt 1088 304) + (bus) +) +(connector + (pt 1080 304) + (pt 1088 304) + (bus) +) +(connector + (pt 600 216) + (pt 1096 216) + (bus) +) +(connector + (pt 1096 216) + (pt 1096 472) + (bus) +) +(connector + (pt 512 472) + (pt 1096 472) + (bus) +) +(connector + (pt 816 168) + (pt 896 168) +) +(connector + (pt 896 168) + (pt 976 168) +) +(connector + (pt 240 472) + (pt 400 472) + (bus) +) +(connector + (pt 240 168) + (pt 240 392) + (bus) +) +(connector + (pt 240 392) + (pt 240 472) + (bus) +) +(connector + (pt 512 472) + (pt 512 448) + (bus) +) +(connector + (pt 512 448) + (pt 504 448) + (bus) +) +(connector + (pt 400 472) + (pt 400 432) + (bus) +) +(connector + (pt 400 432) + (pt 408 432) + (bus) +) +(connector + (pt 512 376) + (pt 504 376) + (bus) +) +(connector + (pt 512 424) + (pt 512 376) + (bus) +) +(connector + (pt 400 360) + (pt 408 360) + (bus) +) +(connector + (pt 1048 96) + (pt 1072 96) +) +(connector + (pt 1072 112) + (pt 1064 112) +) +(connector + (pt 1064 112) + (pt 1064 168) +) +(connector + (pt 976 168) + (pt 1064 168) +) +(connector + (text "clk" (rect 1072 152 1086 169)(font "Intel Clear" )) + (pt 1064 168) + (pt 1088 168) +) +(connector + (pt 1016 56) + (pt 1056 56) +) +(connector + (pt 1056 56) + (pt 1104 56) +) +(connector + (pt 1104 72) + (pt 1104 56) +) +(connector + (pt 1104 152) + (pt 1104 160) +) +(connector + (pt 1016 160) + (pt 1056 160) +) +(connector + (pt 1056 160) + (pt 1104 160) +) +(connector + (pt 1136 96) + (pt 1144 96) +) +(connector + (pt 1144 96) + (pt 1144 176) +) +(connector + (pt 1144 176) + (pt 816 176) +) +(connector + (pt 816 176) + (pt 816 192) +) +(connector + (pt 816 192) + (pt 920 192) +) +(connector + (pt 800 -8) + (pt 800 208) +) +(connector + (pt 800 -8) + (pt 824 -8) +) +(connector + (pt 784 8) + (pt 792 8) + (bus) +) +(connector + (pt 792 8) + (pt 792 -56) + (bus) +) +(connector + (pt 792 -56) + (pt 800 -56) + (bus) +) +(connector + (pt 400 272) + (pt 400 360) + (bus) +) +(connector + (text "<<__$DEF_ALIAS498>>" (rect 160 408 272 425)(font "Intel Clear" )(invisible)) + (pt 176 432) + (pt 248 432) + (bus) +) +(connector + (pt 136 328) + (pt 200 328) +) +(connector + (pt 200 480) + (pt 712 480) +) +(connector + (pt 200 480) + (pt 200 328) +) +(junction (pt 240 168)) +(junction (pt 240 392)) +(junction (pt 240 128)) +(junction (pt 856 56)) +(junction (pt 936 56)) +(junction (pt 936 -40)) +(junction (pt 936 160)) +(junction (pt 896 112)) +(junction (pt 896 168)) +(junction (pt 1016 -40)) +(junction (pt 1016 160)) +(junction (pt 1016 56)) +(junction (pt 1056 -40)) +(junction (pt 1056 56)) +(junction (pt 976 168)) +(junction (pt 976 112)) +(junction (pt 816 112)) +(junction (pt 32 88)) +(junction (pt 32 192)) +(junction (pt 104 192)) +(junction (pt 104 296)) +(junction (pt 32 296)) +(junction (pt 1064 168)) +(junction (pt 1056 160)) diff --git a/system_template_de1_soc/fullfunction_t.bdf b/system_template_de1_soc/fullfunction_t.bdf new file mode 100644 index 0000000..b3a89a8 --- /dev/null +++ b/system_template_de1_soc/fullfunction_t.bdf @@ -0,0 +1,2032 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect 0 -120 176 -104) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "dataa[31..0]" (rect 9 0 68 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 0 -192 176 -176) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "clk" (rect 9 0 23 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 0 -168 176 -152) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "reset" (rect 9 0 33 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 0 -144 176 -128) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "en" (rect 9 0 20 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (input) + (rect 0 -96 176 -80) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "start" (rect 9 0 31 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) +) +(pin + (output) + (rect 784 -192 960 -176) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "result[31..0]" (rect 90 0 149 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(pin + (output) + (rect 904 56 1080 72) + (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) + (text "done" (rect 90 0 113 12)(font "Arial" )) + (pt 0 8) + (drawing + (line (pt 0 8)(pt 52 8)) + (line (pt 52 4)(pt 78 4)) + (line (pt 52 12)(pt 78 12)) + (line (pt 52 12)(pt 52 4)) + (line (pt 78 4)(pt 82 8)) + (line (pt 82 8)(pt 78 12)) + (line (pt 78 12)(pt 82 8)) + ) +) +(symbol + (rect 0 -72 32 -56) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst7" (rect 3 5 26 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 1024 -192 1056 -176) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst13" (rect 3 5 32 17)(font "Arial" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 56 72 120 152) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst1" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 56 176 120 256) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst2" (rect 3 68 44 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 232 -192 376 72) + (text "fp_mul" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst4" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 232 72 376 336) + (text "fp_sub" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst7" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 432 -192 576 72) + (text "fp_mul" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst8" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 624 -192 768 72) + (text "fp_add" (rect 52 -1 96 15)(font "Arial" (font_size 10))) + (text "00_inst9" (rect 8 248 49 260)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 0 232) + (input) + (text "b[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "b[31..0]" (rect 4 221 46 235)(font "Arial" (font_size 8))) + (line (pt 0 232)(pt 48 232)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "b" (rect 42 203 50 218)(font "Arial" (color 128 0 0)(font_size 9))) + (text "b" (rect 53 227 58 239)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 248 159 260)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 248)) + (line (pt 48 248)(pt 96 248)) + (line (pt 48 32)(pt 48 248)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 49 212)(pt 49 236)) + (line (pt 50 212)(pt 50 236)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 264)) + (line (pt 0 264)(pt 144 264)) + (line (pt 0 0)(pt 0 264)) + ) +) +(symbol + (rect 808 -160 872 -80) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst11" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 920 104 1064 328) + (text "fix_to_fp" (rect 46 -1 102 15)(font "Arial" (font_size 10))) + (text "inst" (rect 8 208 25 220)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 208 159 220)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 208)) + (line (pt 48 208)(pt 96 208)) + (line (pt 48 32)(pt 48 208)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 224)) + (line (pt 0 224)(pt 144 224)) + (line (pt 0 0)(pt 0 224)) + ) +) +(symbol + (rect 536 104 680 328) + (text "fp_to_fix" (rect 46 -1 102 15)(font "Arial" (font_size 10))) + (text "inst1" (rect 8 208 31 220)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "clk" (rect 4 61 19 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 101 40 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 0 152) + (input) + (text "en" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "en" (rect 4 141 18 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 48 152)) + ) + (port + (pt 0 192) + (input) + (text "a[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "a[31..0]" (rect 4 181 46 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 48 192)(line_width 3)) + ) + (port + (pt 144 72) + (output) + (text "q[31..0]" (rect 0 0 42 14)(font "Arial" (font_size 8))) + (text "q[31..0]" (rect 108 61 150 75)(font "Arial" (font_size 8))) + (line (pt 144 72)(pt 96 72)(line_width 3)) + ) + (drawing + (text "clk" (rect 33 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "areset" (rect 12 83 53 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "en" (rect 35 123 51 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "en" (rect 53 147 64 159)(font "Arial" (color 0 0 0))) + (text "a" (rect 42 163 50 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "a" (rect 53 187 58 199)(font "Arial" (color 0 0 0))) + (text "q" (rect 97 43 105 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "q" (rect 88 67 93 79)(font "Arial" (color 0 0 0))) + (text " altera_fp_functions " (rect 57 208 159 220)(font "Arial" )) + (line (pt 48 32)(pt 96 32)) + (line (pt 96 32)(pt 96 208)) + (line (pt 48 208)(pt 96 208)) + (line (pt 48 32)(pt 48 208)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 49 132)(pt 49 156)) + (line (pt 50 132)(pt 50 156)) + (line (pt 49 172)(pt 49 196)) + (line (pt 50 172)(pt 50 196)) + (line (pt 95 52)(pt 95 76)) + (line (pt 94 52)(pt 94 76)) + (line (pt 0 0)(pt 144 0)) + (line (pt 144 0)(pt 144 224)) + (line (pt 0 224)(pt 144 224)) + (line (pt 0 0)(pt 0 224)) + ) +) +(symbol + (rect 56 -32 120 48) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst0" (rect 3 68 43 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 888 -56 952 24) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst15" (rect 3 68 49 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 968 -56 1032 24) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst16" (rect 3 68 49 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 888 -160 952 -80) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst12" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 808 -56 872 24) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst14" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 968 -160 1032 -80) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst13" (rect 3 68 50 80)(font "Arial" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(symbol + (rect 736 104 864 216) + (text "cordic_t" (rect 5 0 43 12)(font "Arial" )) + (text "00_inst10" (rect 8 96 55 108)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "clk_en" (rect 0 0 31 12)(font "Arial" )) + (text "clk_en" (rect 21 43 52 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)) + ) + (port + (pt 0 64) + (input) + (text "reset" (rect 0 0 24 12)(font "Arial" )) + (text "reset" (rect 21 59 45 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 75 80 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)(line_width 3)) + ) + (port + (pt 128 32) + (output) + (text "result[31..0]" (rect -64 0 -5 12)(font "Arial" )) + (text "result[31..0]" (rect 58 27 117 39)(font "Arial" )) + (line (pt 128 32)(pt 112 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 112 96)) + ) +) +(symbol + (rect 392 280 488 344) + (text "fp_div2" (rect 5 0 42 12)(font "Arial" )) + (text "00_inst6" (rect 8 48 49 60)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 19 80 31)(font "Arial" )) + (line (pt 0 24)(pt 16 24)(line_width 3)) + ) + (port + (pt 96 40) + (output) + (text "result[31..0]" (rect -96 0 -37 12)(font "Arial" )) + (text "result[31..0]" (rect 26 35 85 47)(font "Arial" )) + (line (pt 96 40)(pt 80 40)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 80 48)) + ) +) +(symbol + (rect 392 208 488 272) + (text "fp_div128" (rect 5 0 54 12)(font "Arial" )) + (text "00_inst5" (rect 8 48 49 60)(font "Arial" )) + (port + (pt 0 24) + (input) + (text "dataa[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "dataa[31..0]" (rect 21 19 80 31)(font "Arial" )) + (line (pt 0 24)(pt 16 24)(line_width 3)) + ) + (port + (pt 96 40) + (output) + (text "result[31..0]" (rect -96 0 -37 12)(font "Arial" )) + (text "result[31..0]" (rect 26 35 85 47)(font "Arial" )) + (line (pt 96 40)(pt 80 40)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 80 48)) + ) +) +(symbol + (rect 16 272 160 352) + (text "const128" (rect 5 0 49 12)(font "Arial" )) + (text "inst4" (rect 8 64 30 81)(font "Intel Clear" )) + (port + (pt 144 32) + (output) + (text "result[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "result[31..0]" (rect 74 27 133 39)(font "Arial" )) + (line (pt 144 32)(pt 128 32)(line_width 3)) + ) + (drawing + (rectangle (rect 16 16 128 64)) + ) +) +(symbol + (rect 680 200 712 216) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst5" (rect 3 5 25 22)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 744 232 808 312) + (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6))) + (text "00_inst17" (rect 3 68 49 85)(font "Intel Clear" )) + (port + (pt 32 80) + (input) + (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold))) + (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold))) + (line (pt 32 80)(pt 32 76)) + ) + (port + (pt 0 40) + (input) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible)) + (line (pt 0 40)(pt 12 40)) + ) + (port + (pt 0 24) + (input) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (text "D" (rect 14 20 19 32)(font "Courier New" (bold))) + (line (pt 0 24)(pt 12 24)) + ) + (port + (pt 32 0) + (input) + (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold))) + (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold))) + (line (pt 32 4)(pt 32 0)) + ) + (port + (pt 64 24) + (output) + (text "Q" (rect 45 20 50 32)(font "Courier New" (bold))) + (text "Q" (rect 43 20 48 32)(font "Courier New" (bold))) + (line (pt 52 24)(pt 64 24)) + ) + (drawing + (line (pt 12 12)(pt 52 12)) + (line (pt 12 68)(pt 52 68)) + (line (pt 52 68)(pt 52 12)) + (line (pt 12 68)(pt 12 12)) + (line (pt 19 40)(pt 12 47)) + (line (pt 12 32)(pt 20 40)) + (circle (rect 28 4 36 12)) + (circle (rect 28 68 36 76)) + ) +) +(connector + (pt 376 -120) + (pt 384 -120) + (bus) +) +(connector + (pt 384 -120) + (pt 384 0) + (bus) +) +(connector + (pt 384 0) + (pt 432 0) + (bus) +) +(connector + (text "clk" (rect 408 -136 422 -119)(font "Intel Clear" )) + (pt 432 -120) + (pt 400 -120) +) +(connector + (text "reset" (rect 408 -96 431 -79)(font "Intel Clear" )) + (pt 432 -80) + (pt 400 -80) +) +(connector + (text "en" (rect 408 -56 419 -39)(font "Intel Clear" )) + (pt 432 -40) + (pt 400 -40) +) +(connector + (pt 384 96) + (pt 384 40) + (bus) +) +(connector + (pt 384 40) + (pt 432 40) + (bus) +) +(connector + (text "clk" (rect 200 -136 214 -119)(font "Intel Clear" )) + (pt 232 -120) + (pt 192 -120) +) +(connector + (text "reset" (rect 200 -96 223 -79)(font "Intel Clear" )) + (pt 232 -80) + (pt 192 -80) +) +(connector + (text "en" (rect 200 -56 211 -39)(font "Intel Clear" )) + (pt 232 -40) + (pt 192 -40) +) +(connector + (text "clk" (rect 200 128 214 145)(font "Intel Clear" )) + (pt 232 144) + (pt 192 144) +) +(connector + (text "reset" (rect 200 168 223 185)(font "Intel Clear" )) + (pt 232 184) + (pt 192 184) +) +(connector + (text "en" (rect 200 208 211 225)(font "Intel Clear" )) + (pt 232 224) + (pt 192 224) +) +(connector + (pt 232 264) + (pt 224 264) + (bus) +) +(connector + (pt 232 40) + (pt 224 40) + (bus) +) +(connector + (pt 224 0) + (pt 232 0) + (bus) +) +(connector + (pt 584 88) + (pt 584 40) + (bus) +) +(connector + (pt 584 40) + (pt 624 40) + (bus) +) +(connector + (text "en" (rect 600 -56 611 -39)(font "Intel Clear" )) + (pt 624 -40) + (pt 592 -40) +) +(connector + (text "reset" (rect 600 -96 623 -79)(font "Intel Clear" )) + (pt 624 -80) + (pt 592 -80) +) +(connector + (text "clk" (rect 600 -136 614 -119)(font "Intel Clear" )) + (pt 624 -120) + (pt 592 -120) +) +(connector + (pt 768 -120) + (pt 776 -120) + (bus) +) +(connector + (pt 776 -120) + (pt 776 -184) + (bus) +) +(connector + (pt 776 -184) + (pt 784 -184) + (bus) +) +(connector + (pt 872 -136) + (pt 888 -136) +) +(connector + (pt 920 -160) + (pt 920 -168) +) +(connector + (pt 840 -160) + (pt 840 -168) +) +(connector + (pt 784 80) + (pt 784 -136) +) +(connector + (pt 808 -136) + (pt 784 -136) +) +(connector + (pt 920 24) + (pt 920 32) +) +(connector + (pt 840 24) + (pt 840 32) +) +(connector + (pt 872 -32) + (pt 888 -32) +) +(connector + (pt 888 -120) + (pt 880 -120) +) +(connector + (pt 888 -16) + (pt 880 -16) +) +(connector + (pt 576 -120) + (pt 584 -120) + (bus) +) +(connector + (pt 584 -120) + (pt 584 0) + (bus) +) +(connector + (pt 624 0) + (pt 584 0) + (bus) +) +(connector + (text "clk" (rect 176 -200 190 -183)(font "Intel Clear" )) + (pt 176 -184) + (pt 208 -184) +) +(connector + (text "reset" (rect 176 -176 199 -159)(font "Intel Clear" )) + (pt 176 -160) + (pt 208 -160) +) +(connector + (text "en" (rect 176 -152 187 -135)(font "Intel Clear" )) + (pt 176 -136) + (pt 208 -136) +) +(connector + (text "en" (rect 512 240 523 257)(font "Intel Clear" )) + (pt 536 256) + (pt 504 256) +) +(connector + (text "reset" (rect 512 200 535 217)(font "Intel Clear" )) + (pt 536 216) + (pt 504 216) +) +(connector + (text "clk" (rect 512 160 526 177)(font "Intel Clear" )) + (pt 536 176) + (pt 504 176) +) +(connector + (pt 496 296) + (pt 536 296) + (bus) +) +(connector + (pt 680 176) + (pt 688 176) + (bus) +) +(connector + (text "clk" (rect 712 120 726 137)(font "Intel Clear" )) + (pt 704 136) + (pt 736 136) +) +(connector + (text "en" (rect 712 136 723 153)(font "Intel Clear" )) + (pt 704 152) + (pt 736 152) +) +(connector + (pt 952 -136) + (pt 968 -136) +) +(connector + (pt 1000 -168) + (pt 1000 -160) +) +(connector + (pt 1000 24) + (pt 1000 32) +) +(connector + (pt 1032 -136) + (pt 1048 -136) +) +(connector + (pt 1048 -136) + (pt 1048 -64) +) +(connector + (pt 952 -32) + (pt 968 -32) +) +(connector + (pt 1032 -32) + (pt 1048 -32) +) +(connector + (pt 1048 -32) + (pt 1048 48) +) +(connector + (pt 1048 48) + (pt 792 48) +) +(connector + (pt 968 -120) + (pt 960 -120) +) +(connector + (pt 968 -16) + (pt 960 -16) +) +(connector + (pt 808 -120) + (pt 800 -120) +) +(connector + (pt 800 -16) + (pt 808 -16) +) +(connector + (pt 792 -64) + (pt 1048 -64) +) +(connector + (pt 792 -64) + (pt 792 -32) +) +(connector + (pt 808 -32) + (pt 792 -32) +) +(connector + (pt 224 -112) + (pt 176 -112) + (bus) +) +(connector + (pt 184 -88) + (pt 176 -88) +) +(connector + (pt 48 96) + (pt 56 96) +) +(connector + (pt 56 200) + (pt 48 200) +) +(connector + (pt 120 96) + (pt 128 96) +) +(connector + (text "clk" (rect 32 96 46 113)(font "Intel Clear" )) + (pt 56 112) + (pt 24 112) +) +(connector + (text "clk" (rect 32 200 46 217)(font "Intel Clear" )) + (pt 56 216) + (pt 24 216) +) +(connector + (pt 128 -8) + (pt 120 -8) +) +(connector + (pt 88 -32) + (pt 88 -40) +) +(connector + (pt 88 -40) + (pt 16 -40) +) +(connector + (pt 88 64) + (pt 16 64) +) +(connector + (pt 128 56) + (pt 128 -8) +) +(connector + (pt 128 56) + (pt 48 56) +) +(connector + (pt 48 56) + (pt 48 96) +) +(connector + (text "clk" (rect 32 -8 46 9)(font "Intel Clear" )) + (pt 56 8) + (pt 24 8) +) +(connector + (pt 184 -88) + (pt 184 -48) +) +(connector + (pt 184 -48) + (pt 48 -48) +) +(connector + (pt 48 -48) + (pt 48 -8) +) +(connector + (pt 48 -8) + (pt 56 -8) +) +(connector + (pt 48 200) + (pt 48 160) +) +(connector + (pt 128 96) + (pt 128 160) +) +(connector + (pt 48 160) + (pt 128 160) +) +(connector + (pt 88 168) + (pt 16 168) +) +(connector + (pt 88 264) + (pt 16 264) +) +(connector + (pt 88 264) + (pt 88 256) +) +(connector + (pt 880 -120) + (pt 880 -16) +) +(connector + (pt 880 -16) + (pt 880 40) +) +(connector + (pt 840 -168) + (pt 920 -168) +) +(connector + (pt 920 -168) + (pt 1000 -168) +) +(connector + (pt 1000 -168) + (pt 1040 -168) +) +(connector + (pt 840 -80) + (pt 840 -72) +) +(connector + (pt 840 -72) + (pt 840 -56) +) +(connector + (pt 920 -80) + (pt 920 -72) +) +(connector + (pt 920 -72) + (pt 920 -56) +) +(connector + (pt 1000 -80) + (pt 1000 -72) +) +(connector + (pt 1000 -72) + (pt 1000 -56) +) +(connector + (pt 840 -72) + (pt 920 -72) +) +(connector + (pt 920 -72) + (pt 1000 -72) +) +(connector + (pt 1000 -72) + (pt 1040 -72) +) +(connector + (pt 840 32) + (pt 920 32) +) +(connector + (pt 920 32) + (pt 1000 32) +) +(connector + (pt 1000 32) + (pt 1040 32) +) +(connector + (pt 1040 -176) + (pt 1040 -168) +) +(connector + (pt 1040 -168) + (pt 1040 -72) +) +(connector + (pt 1040 -72) + (pt 1040 32) +) +(connector + (pt 960 -120) + (pt 960 -16) +) +(connector + (pt 960 -16) + (pt 960 40) +) +(connector + (pt 800 -120) + (pt 800 -16) +) +(connector + (pt 800 -16) + (pt 800 40) +) +(connector + (pt 224 -112) + (pt 224 0) + (bus) +) +(connector + (pt 224 0) + (pt 224 40) + (bus) +) +(connector + (pt 224 40) + (pt 224 264) + (bus) +) +(connector + (pt 224 264) + (pt 224 344) + (bus) +) +(connector + (pt 88 48) + (pt 88 64) +) +(connector + (pt 88 64) + (pt 88 72) +) +(connector + (pt 88 152) + (pt 88 168) +) +(connector + (pt 88 168) + (pt 88 176) +) +(connector + (pt 16 -56) + (pt 16 -40) +) +(connector + (pt 16 -40) + (pt 16 64) +) +(connector + (pt 16 64) + (pt 16 168) +) +(connector + (pt 16 168) + (pt 16 264) +) +(connector + (text "reset" (rect 712 152 735 169)(font "Intel Clear" )) + (pt 704 168) + (pt 736 168) +) +(connector + (pt 688 176) + (pt 688 184) + (bus) +) +(connector + (pt 688 184) + (pt 736 184) + (bus) +) +(connector + (pt 864 136) + (pt 872 136) + (bus) +) +(connector + (text "clk" (rect 896 160 910 177)(font "Intel Clear" )) + (pt 888 176) + (pt 920 176) +) +(connector + (text "reset" (rect 896 200 919 217)(font "Intel Clear" )) + (pt 888 216) + (pt 920 216) +) +(connector + (text "en" (rect 896 240 907 257)(font "Intel Clear" )) + (pt 888 256) + (pt 920 256) +) +(connector + (pt 872 296) + (pt 920 296) + (bus) +) +(connector + (pt 872 136) + (pt 872 296) + (bus) +) +(connector + (pt 384 96) + (pt 1072 96) + (bus) +) +(connector + (pt 1072 96) + (pt 1072 176) + (bus) +) +(connector + (pt 1064 176) + (pt 1072 176) + (bus) +) +(connector + (pt 584 88) + (pt 1080 88) + (bus) +) +(connector + (pt 1080 88) + (pt 1080 344) + (bus) +) +(connector + (pt 496 344) + (pt 1080 344) + (bus) +) +(connector + (pt 800 40) + (pt 880 40) +) +(connector + (pt 880 40) + (pt 960 40) +) +(connector + (text "clk" (rect 1056 24 1070 41)(font "Intel Clear" )) + (pt 960 40) + (pt 1072 40) +) +(connector + (pt 792 48) + (pt 792 64) +) +(connector + (pt 792 64) + (pt 904 64) +) +(connector + (pt 880 80) + (pt 784 80) +) +(connector + (pt 488 320) + (pt 496 320) + (bus) +) +(connector + (pt 496 320) + (pt 496 344) + (bus) +) +(connector + (pt 392 304) + (pt 384 304) + (bus) +) +(connector + (pt 384 304) + (pt 384 344) + (bus) +) +(connector + (pt 224 344) + (pt 384 344) + (bus) +) +(connector + (pt 488 248) + (pt 496 248) + (bus) +) +(connector + (pt 496 248) + (pt 496 296) + (bus) +) +(connector + (pt 392 232) + (pt 384 232) + (bus) +) +(connector + (pt 384 232) + (pt 384 144) + (bus) +) +(connector + (pt 376 144) + (pt 384 144) + (bus) +) +(connector + (pt 232 304) + (pt 160 304) + (bus) +) +(connector + (pt 120 200) + (pt 216 200) +) +(connector + (pt 216 200) + (pt 216 352) +) +(connector + (pt 688 352) + (pt 216 352) +) +(connector + (pt 776 224) + (pt 776 232) +) +(connector + (pt 688 352) + (pt 688 256) +) +(connector + (pt 776 328) + (pt 776 312) +) +(connector + (pt 880 80) + (pt 880 256) +) +(connector + (pt 696 224) + (pt 776 224) +) +(connector + (pt 696 328) + (pt 776 328) +) +(connector + (pt 880 256) + (pt 808 256) +) +(connector + (pt 696 216) + (pt 696 224) +) +(connector + (pt 696 224) + (pt 696 328) +) +(connector + (pt 688 256) + (pt 744 256) +) +(connector + (text "clk" (rect 723 256 737 273)(font "Intel Clear" )) + (pt 744 272) + (pt 720 272) +) +(junction (pt 224 264)) +(junction (pt 880 -16)) +(junction (pt 920 -168)) +(junction (pt 1000 -168)) +(junction (pt 840 -72)) +(junction (pt 920 -72)) +(junction (pt 1000 -72)) +(junction (pt 920 32)) +(junction (pt 1000 32)) +(junction (pt 1040 -168)) +(junction (pt 1040 -72)) +(junction (pt 960 -16)) +(junction (pt 880 40)) +(junction (pt 960 40)) +(junction (pt 800 -16)) +(junction (pt 224 0)) +(junction (pt 224 40)) +(junction (pt 16 -40)) +(junction (pt 88 64)) +(junction (pt 88 168)) +(junction (pt 16 64)) +(junction (pt 16 168)) +(junction (pt 696 224)) diff --git a/system_template_de1_soc/hello_world.bdf b/system_template_de1_soc/hello_world.bdf new file mode 100644 index 0000000..086d613 --- /dev/null +++ b/system_template_de1_soc/hello_world.bdf @@ -0,0 +1,514 @@ +/* +WARNING: Do NOT edit the input and output ports in this file in a text +editor if you plan to continue editing the block that represents it in +the Block Editor! File corruption is VERY likely to occur. +*/ +/* +Copyright (C) 2020 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +*/ +(header "graphic" (version "1.4")) +(pin + (input) + (rect -24 8 152 24) + (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6))) + (text "iCLK_50" (rect 9 0 50 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 92 12)(pt 117 12)) + (line (pt 92 4)(pt 117 4)) + (line (pt 121 8)(pt 176 8)) + (line (pt 92 12)(pt 92 4)) + (line (pt 117 4)(pt 121 8)) + (line (pt 117 12)(pt 121 8)) + ) + (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect -24 24 40 40)) +) +(pin + (output) + (rect 160 112 336 128) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oLEDG[7..0]" (rect 25 0 86 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 160 128 224 144)) +) +(pin + (output) + (rect 152 208 336 224) + (text "OUTPUT" (rect 145 0 183 10)(font "Arial" (font_size 6))) + (text "oDRAM_BA[1..0] " (rect 5 0 92 12)(font "Arial" )) + (pt 184 8) + (drawing + (line (pt 184 8)(pt 132 8)) + (line (pt 132 4)(pt 106 4)) + (line (pt 132 12)(pt 106 12)) + (line (pt 132 12)(pt 132 4)) + (line (pt 106 4)(pt 102 8)) + (line (pt 102 8)(pt 106 12)) + (line (pt 106 12)(pt 102 8)) + ) + (flipy) + (annotation_block (location)(rect 80 224 144 240)) +) +(pin + (output) + (rect 160 224 336 240) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_CAS_N" (rect 5 0 85 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 240 144 256)) +) +(pin + (output) + (rect 160 240 336 256) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_CKE" (rect 5 0 71 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 256 144 272)) +) +(pin + (output) + (rect 160 256 336 272) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_CS_N" (rect 5 0 78 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 272 136 288)) +) +(pin + (output) + (rect 160 304 336 320) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_RAS_N" (rect 5 0 85 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 320 136 336)) +) +(pin + (output) + (rect 160 320 336 336) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_WE_N" (rect 5 0 80 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 80 336 136 352)) +) +(pin + (output) + (rect 144 88 320 104) + (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6))) + (text "oDRAM_CLK" (rect 5 0 69 12)(font "Arial" )) + (pt 176 8) + (drawing + (line (pt 176 8)(pt 124 8)) + (line (pt 124 4)(pt 98 4)) + (line (pt 124 12)(pt 98 12)) + (line (pt 124 12)(pt 124 4)) + (line (pt 98 4)(pt 94 8)) + (line (pt 94 8)(pt 98 12)) + (line (pt 98 12)(pt 94 8)) + ) + (flipy) + (annotation_block (location)(rect 88 128 152 144)) +) +(pin + (output) + (rect 152 192 336 208) + (text "OUTPUT" (rect 145 0 183 10)(font "Arial" (font_size 6))) + (text "oDRAM_A[11..0] " (rect 5 0 91 12)(font "Arial" )) + (pt 184 8) + (drawing + (line (pt 184 8)(pt 132 8)) + (line (pt 132 4)(pt 106 4)) + (line (pt 132 12)(pt 106 12)) + (line (pt 132 12)(pt 132 4)) + (line (pt 106 4)(pt 102 8)) + (line (pt 102 8)(pt 106 12)) + (line (pt 106 12)(pt 102 8)) + ) + (flipy) + (annotation_block (location)(rect 80 208 144 224)) +) +(pin + (output) + (rect 144 288 336 304) + (text "OUTPUT" (rect 153 0 191 10)(font "Arial" (font_size 6))) + (text "oDRAM_DQM[1..0]" (rect 5 0 99 12)(font "Arial" )) + (pt 192 8) + (drawing + (line (pt 192 8)(pt 140 8)) + (line (pt 140 4)(pt 114 4)) + (line (pt 140 12)(pt 114 12)) + (line (pt 140 12)(pt 140 4)) + (line (pt 114 4)(pt 110 8)) + (line (pt 110 8)(pt 114 12)) + (line (pt 114 12)(pt 110 8)) + ) + (flipy) + (annotation_block (location)(rect 8 296 72 336)) +) +(pin + (bidir) + (rect 152 272 336 288) + (text "BIDIR" (rect 159 0 183 10)(font "Arial" (font_size 6))) + (text "DRAM_DQ[15..0] " (rect 5 0 94 12)(font "Arial" )) + (pt 184 8) + (drawing + (line (pt 128 4)(pt 106 4)) + (line (pt 184 8)(pt 132 8)) + (line (pt 128 12)(pt 106 12)) + (line (pt 106 4)(pt 102 8)) + (line (pt 106 12)(pt 102 8)) + (line (pt 128 4)(pt 132 8)) + (line (pt 132 8)(pt 128 12)) + ) + (flipy) + (text "VCC" (rect 160 7 180 17)(font "Arial" (font_size 6))) + (annotation_block (location)(rect 80 288 144 304)) +) +(symbol + (rect 312 144 344 160) + (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) + (text "inst1" (rect 3 5 25 22)(font "Intel Clear" )(invisible)) + (port + (pt 16 16) + (output) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible)) + (line (pt 16 16)(pt 16 8)) + ) + (drawing + (line (pt 8 8)(pt 24 8)) + ) +) +(symbol + (rect 160 -56 320 88) + (text "pll" (rect 74 -1 89 15)(font "Arial" (font_size 10))) + (text "inst2" (rect 8 128 30 145)(font "Intel Clear" )) + (port + (pt 0 72) + (input) + (text "refclk" (rect 0 0 31 14)(font "Arial" (font_size 8))) + (text "refclk" (rect 4 61 35 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 48 72)) + ) + (port + (pt 0 112) + (input) + (text "rst" (rect 0 0 15 14)(font "Arial" (font_size 8))) + (text "rst" (rect 4 101 19 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 48 112)) + ) + (port + (pt 160 72) + (output) + (text "outclk_0" (rect 0 0 47 14)(font "Arial" (font_size 8))) + (text "outclk_0" (rect 117 61 164 75)(font "Arial" (font_size 8))) + (line (pt 160 72)(pt 112 72)) + ) + (port + (pt 160 112) + (output) + (text "outclk_1" (rect 0 0 47 14)(font "Arial" (font_size 8))) + (text "outclk_1" (rect 119 101 166 115)(font "Arial" (font_size 8))) + (line (pt 160 112)(pt 112 112)) + ) + (drawing + (text "refclk" (rect 16 43 50 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 53 67 67 79)(font "Arial" (color 0 0 0))) + (text "reset" (rect 19 83 52 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset" (rect 53 107 77 119)(font "Arial" (color 0 0 0))) + (text "outclk0" (rect 113 43 159 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 97 67 111 79)(font "Arial" (color 0 0 0))) + (text "outclk1" (rect 113 83 159 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 97 107 111 119)(font "Arial" (color 0 0 0))) + (text " altera_pll " (rect 118 128 168 140)(font "Arial" )) + (line (pt 48 32)(pt 112 32)) + (line (pt 112 32)(pt 112 128)) + (line (pt 48 128)(pt 112 128)) + (line (pt 48 32)(pt 48 128)) + (line (pt 49 52)(pt 49 76)) + (line (pt 50 52)(pt 50 76)) + (line (pt 49 92)(pt 49 116)) + (line (pt 50 92)(pt 50 116)) + (line (pt 111 52)(pt 111 76)) + (line (pt 110 52)(pt 110 76)) + (line (pt 111 92)(pt 111 116)) + (line (pt 110 92)(pt 110 116)) + (line (pt 0 0)(pt 160 0)) + (line (pt 160 0)(pt 160 144)) + (line (pt 0 144)(pt 160 144)) + (line (pt 0 0)(pt 0 144)) + ) +) +(symbol + (rect 344 8 856 360) + (text "first_nios2_system" (rect 200 -1 328 15)(font "Arial" (font_size 10))) + (text "inst" (rect 8 336 25 348)(font "Arial" )) + (port + (pt 0 72) + (input) + (text "clk_clk" (rect 0 0 37 14)(font "Arial" (font_size 8))) + (text "clk_clk" (rect 4 61 41 75)(font "Arial" (font_size 8))) + (line (pt 0 72)(pt 224 72)) + ) + (port + (pt 0 152) + (input) + (text "reset_reset_n" (rect 0 0 80 14)(font "Arial" (font_size 8))) + (text "reset_reset_n" (rect 4 141 84 155)(font "Arial" (font_size 8))) + (line (pt 0 152)(pt 224 152)) + ) + (port + (pt 0 112) + (output) + (text "led_pio_external_connection_export[7..0]" (rect 0 0 234 14)(font "Arial" (font_size 8))) + (text "led_pio_external_connection_export[7..0]" (rect 4 101 238 115)(font "Arial" (font_size 8))) + (line (pt 0 112)(pt 224 112)(line_width 3)) + ) + (port + (pt 0 192) + (output) + (text "sdram_wire_addr[11..0]" (rect 0 0 136 14)(font "Arial" (font_size 8))) + (text "sdram_wire_addr[11..0]" (rect 4 181 140 195)(font "Arial" (font_size 8))) + (line (pt 0 192)(pt 224 192)(line_width 3)) + ) + (port + (pt 0 208) + (output) + (text "sdram_wire_ba[1..0]" (rect 0 0 118 14)(font "Arial" (font_size 8))) + (text "sdram_wire_ba[1..0]" (rect 4 197 122 211)(font "Arial" (font_size 8))) + (line (pt 0 208)(pt 224 208)(line_width 3)) + ) + (port + (pt 0 224) + (output) + (text "sdram_wire_cas_n" (rect 0 0 110 14)(font "Arial" (font_size 8))) + (text "sdram_wire_cas_n" (rect 4 213 114 227)(font "Arial" (font_size 8))) + (line (pt 0 224)(pt 224 224)) + ) + (port + (pt 0 240) + (output) + (text "sdram_wire_cke" (rect 0 0 95 14)(font "Arial" (font_size 8))) + (text "sdram_wire_cke" (rect 4 229 99 243)(font "Arial" (font_size 8))) + (line (pt 0 240)(pt 224 240)) + ) + (port + (pt 0 256) + (output) + (text "sdram_wire_cs_n" (rect 0 0 103 14)(font "Arial" (font_size 8))) + (text "sdram_wire_cs_n" (rect 4 245 107 259)(font "Arial" (font_size 8))) + (line (pt 0 256)(pt 224 256)) + ) + (port + (pt 0 288) + (output) + (text "sdram_wire_dqm[1..0]" (rect 0 0 127 14)(font "Arial" (font_size 8))) + (text "sdram_wire_dqm[1..0]" (rect 4 277 131 291)(font "Arial" (font_size 8))) + (line (pt 0 288)(pt 224 288)(line_width 3)) + ) + (port + (pt 0 304) + (output) + (text "sdram_wire_ras_n" (rect 0 0 108 14)(font "Arial" (font_size 8))) + (text "sdram_wire_ras_n" (rect 4 293 112 307)(font "Arial" (font_size 8))) + (line (pt 0 304)(pt 224 304)) + ) + (port + (pt 0 320) + (output) + (text "sdram_wire_we_n" (rect 0 0 108 14)(font "Arial" (font_size 8))) + (text "sdram_wire_we_n" (rect 4 309 112 323)(font "Arial" (font_size 8))) + (line (pt 0 320)(pt 224 320)) + ) + (port + (pt 0 272) + (bidir) + (text "sdram_wire_dq[15..0]" (rect 0 0 125 14)(font "Arial" (font_size 8))) + (text "sdram_wire_dq[15..0]" (rect 4 261 129 275)(font "Arial" (font_size 8))) + (line (pt 0 272)(pt 224 272)(line_width 3)) + ) + (drawing + (text "clk" (rect 209 43 226 58)(font "Arial" (color 128 0 0)(font_size 9))) + (text "clk" (rect 229 67 243 79)(font "Arial" (color 0 0 0))) + (text "led_pio_external_connection" (rect 60 83 246 98)(font "Arial" (color 128 0 0)(font_size 9))) + (text "export" (rect 229 107 259 119)(font "Arial" (color 0 0 0))) + (text "reset" (rect 195 123 228 138)(font "Arial" (color 128 0 0)(font_size 9))) + (text "reset_n" (rect 229 147 265 159)(font "Arial" (color 0 0 0))) + (text "sdram_wire" (rect 155 163 232 178)(font "Arial" (color 128 0 0)(font_size 9))) + (text "addr" (rect 229 187 250 199)(font "Arial" (color 0 0 0))) + (text "ba" (rect 229 203 240 215)(font "Arial" (color 0 0 0))) + (text "cas_n" (rect 229 219 258 231)(font "Arial" (color 0 0 0))) + (text "cke" (rect 229 235 246 247)(font "Arial" (color 0 0 0))) + (text "cs_n" (rect 229 251 252 263)(font "Arial" (color 0 0 0))) + (text "dq" (rect 229 267 240 279)(font "Arial" (color 0 0 0))) + (text "dqm" (rect 229 283 250 295)(font "Arial" (color 0 0 0))) + (text "ras_n" (rect 229 299 256 311)(font "Arial" (color 0 0 0))) + (text "we_n" (rect 229 315 253 327)(font "Arial" (color 0 0 0))) + (text " first_nios2_system " (rect 425 336 527 348)(font "Arial" )) + (line (pt 224 32)(pt 288 32)) + (line (pt 288 32)(pt 288 336)) + (line (pt 224 336)(pt 288 336)) + (line (pt 224 32)(pt 224 336)) + (line (pt 225 52)(pt 225 76)) + (line (pt 226 52)(pt 226 76)) + (line (pt 225 92)(pt 225 116)) + (line (pt 226 92)(pt 226 116)) + (line (pt 225 132)(pt 225 156)) + (line (pt 226 132)(pt 226 156)) + (line (pt 225 172)(pt 225 324)) + (line (pt 226 172)(pt 226 324)) + (line (pt 0 0)(pt 512 0)) + (line (pt 512 0)(pt 512 352)) + (line (pt 0 352)(pt 512 352)) + (line (pt 0 0)(pt 0 352)) + ) +) +(connector + (pt 344 120) + (pt 336 120) + (bus) +) +(connector + (pt 344 160) + (pt 328 160) +) +(connector + (pt 344 200) + (pt 336 200) + (bus) +) +(connector + (pt 344 216) + (pt 336 216) + (bus) +) +(connector + (pt 344 232) + (pt 336 232) +) +(connector + (pt 344 248) + (pt 336 248) +) +(connector + (pt 344 264) + (pt 336 264) +) +(connector + (pt 344 280) + (pt 336 280) + (bus) +) +(connector + (pt 344 312) + (pt 336 312) +) +(connector + (pt 344 328) + (pt 336 328) +) +(connector + (pt 344 296) + (pt 336 296) + (bus) +) +(connector + (pt 344 80) + (pt 336 80) +) +(connector + (pt 328 96) + (pt 320 96) +) +(connector + (pt 336 80) + (pt 336 16) +) +(connector + (pt 336 16) + (pt 320 16) +) +(connector + (pt 328 56) + (pt 320 56) +) +(connector + (pt 328 96) + (pt 328 56) +) +(connector + (pt 160 16) + (pt 152 16) +) diff --git a/system_template_de1_soc/hello_world.qpf b/system_template_de1_soc/hello_world.qpf new file mode 100644 index 0000000..07220ee --- /dev/null +++ b/system_template_de1_soc/hello_world.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2012 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 12.0 Build 178 05/31/2012 SJ Full Version +# Date created = 12:12:58 November 25, 2012 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "12.0" +DATE = "12:12:58 November 25, 2012" + +# Revisions + +PROJECT_REVISION = "hello_world" diff --git a/system_template_de1_soc/hello_world.qsf b/system_template_de1_soc/hello_world.qsf new file mode 100644 index 0000000..0644ee5 --- /dev/null +++ b/system_template_de1_soc/hello_world.qsf @@ -0,0 +1,142 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2012 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 12.0 Build 178 05/31/2012 SJ Full Version +# Date created = 12:12:59 November 25, 2012 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# hello_world_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name DEVICE 5CSEMA5F31C6 +set_global_assignment -name TOP_LEVEL_ENTITY hello_world +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:12:59 NOVEMBER 25, 2012" +set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING ON +set_global_assignment -name BOARD "DE1-SoC Board" + +set_location_assignment PIN_AF14 -to iCLK_50 +set_location_assignment PIN_AK6 -to DRAM_DQ[0] +set_location_assignment PIN_AJ7 -to DRAM_DQ[1] +set_location_assignment PIN_AJ9 -to DRAM_DQ[10] +set_location_assignment PIN_AH9 -to DRAM_DQ[11] +set_location_assignment PIN_AH8 -to DRAM_DQ[12] +set_location_assignment PIN_AH7 -to DRAM_DQ[13] +set_location_assignment PIN_AJ6 -to DRAM_DQ[14] +set_location_assignment PIN_AJ5 -to DRAM_DQ[15] +set_location_assignment PIN_AK7 -to DRAM_DQ[2] +set_location_assignment PIN_AK8 -to DRAM_DQ[3] +set_location_assignment PIN_AK9 -to DRAM_DQ[4] +set_location_assignment PIN_AG10 -to DRAM_DQ[5] +set_location_assignment PIN_AK11 -to DRAM_DQ[6] +set_location_assignment PIN_AJ11 -to DRAM_DQ[7] +set_location_assignment PIN_AH10 -to DRAM_DQ[8] +set_location_assignment PIN_AJ10 -to DRAM_DQ[9] + +set_location_assignment PIN_V16 -to oLEDG[0] +set_location_assignment PIN_W16 -to oLEDG[1] +set_location_assignment PIN_V17 -to oLEDG[2] +set_location_assignment PIN_V18 -to oLEDG[3] +set_location_assignment PIN_W17 -to oLEDG[4] +set_location_assignment PIN_W19 -to oLEDG[5] +set_location_assignment PIN_Y19 -to oLEDG[6] +set_location_assignment PIN_W20 -to oLEDG[7] + +set_location_assignment PIN_AJ12 -to oDRAM_BA[1] +set_location_assignment PIN_AF13 -to oDRAM_BA[0] +set_location_assignment PIN_AF11 -to oDRAM_CAS_N +set_location_assignment PIN_AK13 -to oDRAM_CKE +set_location_assignment PIN_AH12 -to oDRAM_CLK +set_location_assignment PIN_AG11 -to oDRAM_CS_N +set_location_assignment PIN_AE13 -to oDRAM_RAS_N +set_location_assignment PIN_AA13 -to oDRAM_WE_N +set_location_assignment PIN_AJ14 -to oDRAM_A[12] +set_location_assignment PIN_AH13 -to oDRAM_A[11] +set_location_assignment PIN_AG12 -to oDRAM_A[10] +set_location_assignment PIN_AG13 -to oDRAM_A[9] +set_location_assignment PIN_AH15 -to oDRAM_A[8] +set_location_assignment PIN_AF15 -to oDRAM_A[7] +set_location_assignment PIN_AD14 -to oDRAM_A[6] +set_location_assignment PIN_AC14 -to oDRAM_A[5] +set_location_assignment PIN_AB15 -to oDRAM_A[4] +set_location_assignment PIN_AE14 -to oDRAM_A[3] +set_location_assignment PIN_AG15 -to oDRAM_A[2] +set_location_assignment PIN_AH14 -to oDRAM_A[1] +set_location_assignment PIN_AK14 -to oDRAM_A[0] +set_location_assignment PIN_AK12 -to oDRAM_DQM[1] +set_location_assignment PIN_AB13 -to oDRAM_DQM[0] + +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" +set_global_assignment -name SEARCH_PATH "c:\\users\\suple\\desktop\\dsd-cw\\system_template_de1_soc" +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan +set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id adder_tb +set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME adder_tb -section_id adder_tb +set_global_assignment -name EDA_TEST_BENCH_FILE adder_tb.sv -section_id adder_tb +set_global_assignment -name BDF_FILE fullfunction.bdf +set_global_assignment -name BDF_FILE fullfunction_t.bdf +set_global_assignment -name VERILOG_FILE fp_div2.v +set_global_assignment -name VERILOG_FILE fp_div128.v +set_global_assignment -name VERILOG_FILE cordic.v +set_global_assignment -name VERILOG_FILE cordic_t.v +set_global_assignment -name QSYS_FILE first_nios2_system.qsys +set_global_assignment -name SDC_FILE hw_dev_tutorial.sdc +set_global_assignment -name BDF_FILE hello_world.bdf +set_global_assignment -name QIP_FILE pll.qip +set_global_assignment -name SIP_FILE pll.sip +set_global_assignment -name QIP_FILE fp_add.qip +set_global_assignment -name QIP_FILE fp_sub.qip +set_global_assignment -name SIP_FILE fp_sub.sip +set_global_assignment -name QIP_FILE fp_mul.qip +set_global_assignment -name SIP_FILE fp_mul.sip +set_global_assignment -name QIP_FILE fp_to_fix.qip +set_global_assignment -name SIP_FILE fp_to_fix.sip +set_global_assignment -name QIP_FILE fix_to_fp.qip +set_global_assignment -name SIP_FILE fix_to_fp.sip +set_global_assignment -name VERILOG_FILE const128.v +set_global_assignment -name BDF_FILE dualfunction.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name BDF_FILE dualfunction_t.bdf +set_global_assignment -name QIP_FILE fp_sum.qip +set_global_assignment -name SIP_FILE fp_sum.sip +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/system_template_de1_soc/hw_dev_tutorial.sdc b/system_template_de1_soc/hw_dev_tutorial.sdc new file mode 100644 index 0000000..32b5766 --- /dev/null +++ b/system_template_de1_soc/hw_dev_tutorial.sdc @@ -0,0 +1,17 @@ +#Update -period with clock period (in nanoseconds) of the clock driving the fpga +create_clock -name sopc_clk -period 20 [get_ports iCLK_50] + +#Setting LED outputs as false path, since no timing requirement +set_false_path -from * -to [get_ports oLEDG[*]] + +#Constraining JTAG interface +#TCK port +create_clock -name altera_reserved_tck -period 100 [get_ports altera_reserved_tck] +#cut all paths to and from tck +set_clock_groups -exclusive -group [get_clocks altera_reserved_tck] +#constrain the TDI port +set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdi] +#constrain the TMS port +set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tms] +#constrain the TDO port +set_output_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdo] \ No newline at end of file diff --git a/system_template_de1_soc/pll.qip b/system_template_de1_soc/pll.qip new file mode 100644 index 0000000..a8d835f --- /dev/null +++ b/system_template_de1_soc/pll.qip @@ -0,0 +1,338 @@ +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "pll" -name MISC_FILE [file join $::quartus(qip_path) "pll.cmp"] +set_global_assignment -entity "pll" -library "pll" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "pll" -library "pll" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "pll" -library "pll" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_NAME "cGxs" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_NAME "cGxsXzAwMDI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_VERSION "MjEuMQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::VW5rbm93bg==::ZGV2aWNl" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RldmljZV9zcGVlZF9ncmFkZQ==::Mg==::RGV2aWNlIFNwZWVkIEdyYWRl" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NTAuMA==::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NTAuMCBNSHo=::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::ZGlyZWN0::T3BlcmF0aW9uIE1vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::ZGlyZWN0::b3BlcmF0aW9uX21vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::ZmFsc2U=::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::Mg==::TnVtYmVyIE9mIENsb2Nrcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::Mg==::bnVtYmVyX29mX2Nsb2Nrcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::NTAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::Ng==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::Ng==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::NTAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::Ng==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::Ng==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::ZGVncmVlcw==::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::LTI1NTA=::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::LTQ2LjA=::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::NTAuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::NTAuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MTc1MDAgcHM=::cGhhc2Vfc2hpZnQx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T2Zm::UExMIEF1dG8gUmVzZXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MywzLDI1NiwyNTYsZmFsc2UsdHJ1ZSxmYWxzZSxmYWxzZSwzLDMsMSwwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsMywzLDYsMixwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDIsMzAsMjAwMCwzMDAuMCBNSHosMSxub25lLGdsYixtX2NudCxwaF9tdXhfY2xrLGZhbHNl::UGFyYW1ldGVyIFZhbHVlcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw=" + +set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"] +set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll/pll_0002.v"] +set_global_assignment -library "pll" -name QIP_FILE [file join $::quartus(qip_path) "pll/pll_0002.qip"] + +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_VERSION "21.1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_ENV "mwpim" diff --git a/system_template_de1_soc/software/hello_world/.cproject b/system_template_de1_soc/software/hello_world/.cproject new file mode 100644 index 0000000..762e948 --- /dev/null +++ b/system_template_de1_soc/software/hello_world/.cproject @@ -0,0 +1,83 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + wsl + make + mem_init_install + true + false + false + + + wsl + make + mem_init_generate + true + false + false + + + wsl + make + help + true + false + false + + + + diff --git a/system_template_de1_soc/software/hello_world/.project b/system_template_de1_soc/software/hello_world/.project new file mode 100644 index 0000000..f580616 --- /dev/null +++ b/system_template_de1_soc/software/hello_world/.project @@ -0,0 +1,40 @@ + + + hello_world + + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + com.altera.sbtgui.project.makefileBuilder + + + + + org.eclipse.cdt.managedbuilder.core.genmakebuilder + clean,full,incremental, + + + + + org.eclipse.cdt.managedbuilder.core.ScannerConfigBuilder + full,incremental, + + + + + + org.eclipse.cdt.core.cnature + org.eclipse.cdt.managedbuilder.core.managedBuildNature + org.eclipse.cdt.managedbuilder.core.ScannerConfigNature + org.eclipse.cdt.core.ccnature + com.altera.sbtgui.project.SBTGUINature + com.altera.sbtgui.project.SBTGUIAppNature + com.altera.sbtgui.project.SBTGUIManagedNature + +