ELEC50010-IAC-CW/.gitignore
jl7719 14ad7fa0ce Update program counter
Logic for instructions with linking not implemented. Can do basic branch delay slots. More left to do with return register
2020-12-12 15:59:14 +09:00

4 lines
61 B
Plaintext

exec/*
inputs/*.log.txt
inputs/*.out.txt
mips_cpu_harvard.vcd