diff --git a/inputs/j/j-2.ref.txt b/inputs/j/j-2.ref.txt index e440e5c..d8263ee 100644 --- a/inputs/j/j-2.ref.txt +++ b/inputs/j/j-2.ref.txt @@ -1 +1 @@ -3 \ No newline at end of file +2 \ No newline at end of file diff --git a/rtl/mips_cpu_regfile.v b/rtl/mips_cpu_regfile.v index 968632a..cad3794 100644 --- a/rtl/mips_cpu_regfile.v +++ b/rtl/mips_cpu_regfile.v @@ -48,7 +48,7 @@ always_ff @(negedge clk) begin endcase // readdata1[1:0] end 6'b100001: begin //lh, load half-word - case (vaddr]) // must be half-word aligned, readdata1[0] = 0 + case (vaddr) // must be half-word aligned, readdata1[0] = 0 2'b00: memory[writereg] <= {{16{writedata[15]}}, writedata[15:0]}; 2'b10: memory[writereg] <= {{16{writedata[31]}}, writedata[31:16]}; endcase // readdata1[1:0]