diff --git a/inputs/j/j-2.instr.txt b/inputs/j/j-2.instr.txt index cf02d85..7d39c93 100644 --- a/inputs/j/j-2.instr.txt +++ b/inputs/j/j-2.instr.txt @@ -2,6 +2,6 @@ 24420001 00000008 00000000 -0BF00002 +0BF00001 24420001 00000008 \ No newline at end of file diff --git a/inputs/lb/lb-1.instr.txt b/inputs/lb/lb-1.instr.txt index 940d1c7..7c741d0 100644 --- a/inputs/lb/lb-1.instr.txt +++ b/inputs/lb/lb-1.instr.txt @@ -1,3 +1,3 @@ 34041001 00000008 -80820005 +80820004 diff --git a/inputs/lb/lb-2.data.txt b/inputs/lb/lb-2.data.txt new file mode 100644 index 0000000..4f67055 --- /dev/null +++ b/inputs/lb/lb-2.data.txt @@ -0,0 +1,4 @@ +00000000 +0000008A +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lb/lb-2.instr.txt b/inputs/lb/lb-2.instr.txt new file mode 100644 index 0000000..ca64802 --- /dev/null +++ b/inputs/lb/lb-2.instr.txt @@ -0,0 +1,3 @@ +34041002 +00000008 +80820002 diff --git a/inputs/lb/lb-2.ref.txt b/inputs/lb/lb-2.ref.txt new file mode 100644 index 0000000..f8ff60d --- /dev/null +++ b/inputs/lb/lb-2.ref.txt @@ -0,0 +1 @@ +4294967178 \ No newline at end of file diff --git a/inputs/lb/lb-3.data.txt b/inputs/lb/lb-3.data.txt new file mode 100644 index 0000000..47c26d6 --- /dev/null +++ b/inputs/lb/lb-3.data.txt @@ -0,0 +1,4 @@ +00000000 +008A0000 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lb/lb-3.instr.txt b/inputs/lb/lb-3.instr.txt new file mode 100644 index 0000000..1b69ebd --- /dev/null +++ b/inputs/lb/lb-3.instr.txt @@ -0,0 +1,3 @@ +34041007 +00000008 +8082FFFF diff --git a/inputs/lb/lb-3.ref.txt b/inputs/lb/lb-3.ref.txt new file mode 100644 index 0000000..f8ff60d --- /dev/null +++ b/inputs/lb/lb-3.ref.txt @@ -0,0 +1 @@ +4294967178 \ No newline at end of file diff --git a/inputs/lb/lb-4.data.txt b/inputs/lb/lb-4.data.txt new file mode 100644 index 0000000..c4a23c4 --- /dev/null +++ b/inputs/lb/lb-4.data.txt @@ -0,0 +1,4 @@ +00000000 +8A000000 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lb/lb-4.instr.txt b/inputs/lb/lb-4.instr.txt new file mode 100644 index 0000000..d72dd81 --- /dev/null +++ b/inputs/lb/lb-4.instr.txt @@ -0,0 +1,3 @@ +34041009 +00000008 +8082FFFE diff --git a/inputs/lb/lb-4.ref.txt b/inputs/lb/lb-4.ref.txt new file mode 100644 index 0000000..f8ff60d --- /dev/null +++ b/inputs/lb/lb-4.ref.txt @@ -0,0 +1 @@ +4294967178 \ No newline at end of file diff --git a/inputs/lbu/lbu-2.data.txt b/inputs/lbu/lbu-2.data.txt new file mode 100644 index 0000000..4f67055 --- /dev/null +++ b/inputs/lbu/lbu-2.data.txt @@ -0,0 +1,4 @@ +00000000 +0000008A +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lbu/lbu-2.instr.txt b/inputs/lbu/lbu-2.instr.txt new file mode 100644 index 0000000..bea4411 --- /dev/null +++ b/inputs/lbu/lbu-2.instr.txt @@ -0,0 +1,3 @@ +34041002 +00000008 +90820002 diff --git a/inputs/lbu/lbu-2.ref.txt b/inputs/lbu/lbu-2.ref.txt new file mode 100644 index 0000000..f8ff60d --- /dev/null +++ b/inputs/lbu/lbu-2.ref.txt @@ -0,0 +1 @@ +4294967178 \ No newline at end of file diff --git a/inputs/lbu/lbu-3.data.txt b/inputs/lbu/lbu-3.data.txt new file mode 100644 index 0000000..74619aa --- /dev/null +++ b/inputs/lbu/lbu-3.data.txt @@ -0,0 +1,4 @@ +00000000 +00008A00 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lbu/lbu-3.instr.txt b/inputs/lbu/lbu-3.instr.txt new file mode 100644 index 0000000..12c7f9d --- /dev/null +++ b/inputs/lbu/lbu-3.instr.txt @@ -0,0 +1,3 @@ +34041006 +00000008 +9082FFFF \ No newline at end of file diff --git a/inputs/lbu/lbu-3.ref.txt b/inputs/lbu/lbu-3.ref.txt new file mode 100644 index 0000000..f8ff60d --- /dev/null +++ b/inputs/lbu/lbu-3.ref.txt @@ -0,0 +1 @@ +4294967178 \ No newline at end of file diff --git a/inputs/lbu/lbu-4.data.txt b/inputs/lbu/lbu-4.data.txt new file mode 100644 index 0000000..c4a23c4 --- /dev/null +++ b/inputs/lbu/lbu-4.data.txt @@ -0,0 +1,4 @@ +00000000 +8A000000 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lbu/lbu-4.instr.txt b/inputs/lbu/lbu-4.instr.txt new file mode 100644 index 0000000..8b4b155 --- /dev/null +++ b/inputs/lbu/lbu-4.instr.txt @@ -0,0 +1,3 @@ +34041009 +00000008 +9082FFFE diff --git a/inputs/lbu/lbu-4.ref.txt b/inputs/lbu/lbu-4.ref.txt new file mode 100644 index 0000000..f8ff60d --- /dev/null +++ b/inputs/lbu/lbu-4.ref.txt @@ -0,0 +1 @@ +4294967178 \ No newline at end of file diff --git a/inputs/lh/lh-2.data.txt b/inputs/lh/lh-2.data.txt new file mode 100644 index 0000000..3d4afa1 --- /dev/null +++ b/inputs/lh/lh-2.data.txt @@ -0,0 +1,4 @@ +00000000 +81230000 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lh/lh-2.instr.txt b/inputs/lh/lh-2.instr.txt new file mode 100644 index 0000000..bbc8105 --- /dev/null +++ b/inputs/lh/lh-2.instr.txt @@ -0,0 +1,3 @@ +34041008 +00000008 +8482FFFE diff --git a/inputs/lh/lh-2.ref.txt b/inputs/lh/lh-2.ref.txt new file mode 100644 index 0000000..9e489ac --- /dev/null +++ b/inputs/lh/lh-2.ref.txt @@ -0,0 +1 @@ +4294934819 \ No newline at end of file diff --git a/inputs/lhu/lhu-2.data.txt b/inputs/lhu/lhu-2.data.txt new file mode 100644 index 0000000..3d4afa1 --- /dev/null +++ b/inputs/lhu/lhu-2.data.txt @@ -0,0 +1,4 @@ +00000000 +81230000 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lhu/lhu-2.instr.txt b/inputs/lhu/lhu-2.instr.txt new file mode 100644 index 0000000..6a22620 --- /dev/null +++ b/inputs/lhu/lhu-2.instr.txt @@ -0,0 +1,3 @@ +34041008 +00000008 +9482FFFE diff --git a/inputs/lhu/lhu-2.ref.txt b/inputs/lhu/lhu-2.ref.txt new file mode 100644 index 0000000..db277c1 --- /dev/null +++ b/inputs/lhu/lhu-2.ref.txt @@ -0,0 +1 @@ +33059 \ No newline at end of file diff --git a/inputs/lui/lui-2.instr.txt b/inputs/lui/lui-2.instr.txt new file mode 100644 index 0000000..d0e6a9e --- /dev/null +++ b/inputs/lui/lui-2.instr.txt @@ -0,0 +1,3 @@ +34425678 +3C021234 +00000008 diff --git a/inputs/lui/lui-2.ref.txt b/inputs/lui/lui-2.ref.txt new file mode 100644 index 0000000..8ebd2aa --- /dev/null +++ b/inputs/lui/lui-2.ref.txt @@ -0,0 +1 @@ +305397760 \ No newline at end of file diff --git a/inputs/lw/lw-2.data.txt b/inputs/lw/lw-2.data.txt new file mode 100644 index 0000000..50de8d2 --- /dev/null +++ b/inputs/lw/lw-2.data.txt @@ -0,0 +1,4 @@ +00000000 +12345678 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lw/lw-2.instr.txt b/inputs/lw/lw-2.instr.txt new file mode 100644 index 0000000..c028371 --- /dev/null +++ b/inputs/lw/lw-2.instr.txt @@ -0,0 +1,3 @@ +34041008 +00000008 +8C82FFFC diff --git a/inputs/lw/lw-2.ref.txt b/inputs/lw/lw-2.ref.txt new file mode 100644 index 0000000..7751570 --- /dev/null +++ b/inputs/lw/lw-2.ref.txt @@ -0,0 +1 @@ +305419896 \ No newline at end of file diff --git a/inputs/lwl/lwl-1.data.txt b/inputs/lwl/lwl-1.data.txt index 325d898..50de8d2 100644 --- a/inputs/lwl/lwl-1.data.txt +++ b/inputs/lwl/lwl-1.data.txt @@ -1,4 +1,4 @@ 00000000 -AAAA1234 +12345678 00000000 00000000 \ No newline at end of file diff --git a/inputs/lwl/lwl-1.ref.txt b/inputs/lwl/lwl-1.ref.txt index 7751570..b54d8aa 100644 --- a/inputs/lwl/lwl-1.ref.txt +++ b/inputs/lwl/lwl-1.ref.txt @@ -1 +1 @@ -305419896 \ No newline at end of file +2013288056 \ No newline at end of file diff --git a/inputs/lwl/lwl-2.data.txt b/inputs/lwl/lwl-2.data.txt new file mode 100644 index 0000000..50de8d2 --- /dev/null +++ b/inputs/lwl/lwl-2.data.txt @@ -0,0 +1,4 @@ +00000000 +12345678 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lwl/lwl-2.instr.txt b/inputs/lwl/lwl-2.instr.txt new file mode 100644 index 0000000..e02b61d --- /dev/null +++ b/inputs/lwl/lwl-2.instr.txt @@ -0,0 +1,4 @@ +34041003 +34025678 +00000008 +88820002 diff --git a/inputs/lwl/lwl-2.ref.txt b/inputs/lwl/lwl-2.ref.txt new file mode 100644 index 0000000..af1ea9f --- /dev/null +++ b/inputs/lwl/lwl-2.ref.txt @@ -0,0 +1 @@ +1450727032 \ No newline at end of file diff --git a/inputs/lwl/lwl-3.data.txt b/inputs/lwl/lwl-3.data.txt new file mode 100644 index 0000000..50de8d2 --- /dev/null +++ b/inputs/lwl/lwl-3.data.txt @@ -0,0 +1,4 @@ +00000000 +12345678 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lwl/lwl-3.instr.txt b/inputs/lwl/lwl-3.instr.txt new file mode 100644 index 0000000..062e5a2 --- /dev/null +++ b/inputs/lwl/lwl-3.instr.txt @@ -0,0 +1,4 @@ +34041007 +34025678 +00000008 +8882FFFF diff --git a/inputs/lwl/lwl-3.ref.txt b/inputs/lwl/lwl-3.ref.txt new file mode 100644 index 0000000..17bc7b2 --- /dev/null +++ b/inputs/lwl/lwl-3.ref.txt @@ -0,0 +1 @@ +878082168 \ No newline at end of file diff --git a/inputs/lwl/lwl-4.data.txt b/inputs/lwl/lwl-4.data.txt new file mode 100644 index 0000000..50de8d2 --- /dev/null +++ b/inputs/lwl/lwl-4.data.txt @@ -0,0 +1,4 @@ +00000000 +12345678 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lwl/lwl-4.instr.txt b/inputs/lwl/lwl-4.instr.txt new file mode 100644 index 0000000..b907d37 --- /dev/null +++ b/inputs/lwl/lwl-4.instr.txt @@ -0,0 +1,4 @@ +34041009 +34025678 +00000008 +8882FFFE diff --git a/inputs/lwl/lwl-4.ref.txt b/inputs/lwl/lwl-4.ref.txt new file mode 100644 index 0000000..7751570 --- /dev/null +++ b/inputs/lwl/lwl-4.ref.txt @@ -0,0 +1 @@ +305419896 \ No newline at end of file diff --git a/inputs/lwr/lwr-1.data.txt b/inputs/lwr/lwr-1.data.txt index ca679b8..50de8d2 100644 --- a/inputs/lwr/lwr-1.data.txt +++ b/inputs/lwr/lwr-1.data.txt @@ -1,4 +1,4 @@ 00000000 -5678AAAA +12345678 00000000 00000000 \ No newline at end of file diff --git a/inputs/lwr/lwr-1.instr.txt b/inputs/lwr/lwr-1.instr.txt index 7f2641f..8ff64e6 100644 --- a/inputs/lwr/lwr-1.instr.txt +++ b/inputs/lwr/lwr-1.instr.txt @@ -1,4 +1,4 @@ 3C021234 -34041002 +34041001 00000008 98820003 diff --git a/inputs/lwr/lwr-2.data.txt b/inputs/lwr/lwr-2.data.txt new file mode 100644 index 0000000..50de8d2 --- /dev/null +++ b/inputs/lwr/lwr-2.data.txt @@ -0,0 +1,4 @@ +00000000 +12345678 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lwr/lwr-2.instr.txt b/inputs/lwr/lwr-2.instr.txt new file mode 100644 index 0000000..7f2641f --- /dev/null +++ b/inputs/lwr/lwr-2.instr.txt @@ -0,0 +1,4 @@ +3C021234 +34041002 +00000008 +98820003 diff --git a/inputs/lwr/lwr-2.ref.txt b/inputs/lwr/lwr-2.ref.txt new file mode 100644 index 0000000..c95600e --- /dev/null +++ b/inputs/lwr/lwr-2.ref.txt @@ -0,0 +1 @@ +303182934 \ No newline at end of file diff --git a/inputs/lwr/lwr-3.data.txt b/inputs/lwr/lwr-3.data.txt new file mode 100644 index 0000000..50de8d2 --- /dev/null +++ b/inputs/lwr/lwr-3.data.txt @@ -0,0 +1,4 @@ +00000000 +12345678 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lwr/lwr-3.instr.txt b/inputs/lwr/lwr-3.instr.txt new file mode 100644 index 0000000..eb8feb2 --- /dev/null +++ b/inputs/lwr/lwr-3.instr.txt @@ -0,0 +1,4 @@ +3C021234 +34041007 +00000008 +9882FFFF diff --git a/inputs/lwr/lwr-3.ref.txt b/inputs/lwr/lwr-3.ref.txt new file mode 100644 index 0000000..224db88 --- /dev/null +++ b/inputs/lwr/lwr-3.ref.txt @@ -0,0 +1 @@ +305402420 \ No newline at end of file diff --git a/inputs/lwr/lwr-4.data.txt b/inputs/lwr/lwr-4.data.txt new file mode 100644 index 0000000..50de8d2 --- /dev/null +++ b/inputs/lwr/lwr-4.data.txt @@ -0,0 +1,4 @@ +00000000 +12345678 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lwr/lwr-4.instr.txt b/inputs/lwr/lwr-4.instr.txt new file mode 100644 index 0000000..6896d13 --- /dev/null +++ b/inputs/lwr/lwr-4.instr.txt @@ -0,0 +1,4 @@ +3C021234 +34041009 +00000008 +9882FFFE diff --git a/inputs/lwr/lwr-4.ref.txt b/inputs/lwr/lwr-4.ref.txt new file mode 100644 index 0000000..a7008ce --- /dev/null +++ b/inputs/lwr/lwr-4.ref.txt @@ -0,0 +1 @@ +305397778 \ No newline at end of file