diff --git a/inputs/lb.data.txt b/inputs/lb.data.txt new file mode 100644 index 0000000..47c26d6 --- /dev/null +++ b/inputs/lb.data.txt @@ -0,0 +1,4 @@ +00000000 +008A0000 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lb.txt b/inputs/lb.txt new file mode 100644 index 0000000..83898a5 --- /dev/null +++ b/inputs/lb.txt @@ -0,0 +1,3 @@ +34041003 +80820003 +00000008 \ No newline at end of file diff --git a/inputs/lbu.data.txt b/inputs/lbu.data.txt new file mode 100644 index 0000000..47c26d6 --- /dev/null +++ b/inputs/lbu.data.txt @@ -0,0 +1,4 @@ +00000000 +008A0000 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lbu.txt b/inputs/lbu.txt new file mode 100644 index 0000000..06c0f06 --- /dev/null +++ b/inputs/lbu.txt @@ -0,0 +1,3 @@ +34041003 +90820003 +00000008 \ No newline at end of file diff --git a/inputs/lh.data.txt b/inputs/lh.data.txt new file mode 100644 index 0000000..f3b4cfd --- /dev/null +++ b/inputs/lh.data.txt @@ -0,0 +1,4 @@ +00000000 +00008123 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lh.txt b/inputs/lh.txt new file mode 100644 index 0000000..3a583e1 --- /dev/null +++ b/inputs/lh.txt @@ -0,0 +1,3 @@ +34041003 +84820004 +00000008 \ No newline at end of file diff --git a/inputs/lhu.data.txt b/inputs/lhu.data.txt new file mode 100644 index 0000000..f3b4cfd --- /dev/null +++ b/inputs/lhu.data.txt @@ -0,0 +1,4 @@ +00000000 +00008123 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lhu.txt b/inputs/lhu.txt new file mode 100644 index 0000000..54a3692 --- /dev/null +++ b/inputs/lhu.txt @@ -0,0 +1,3 @@ +34041003 +94820004 +00000008 \ No newline at end of file diff --git a/inputs/lui.txt b/inputs/lui.txt new file mode 100644 index 0000000..6c9915e --- /dev/null +++ b/inputs/lui.txt @@ -0,0 +1,3 @@ +34045678 +3C021234 +00000008 \ No newline at end of file diff --git a/inputs/lw.data.txt b/inputs/lw.data.txt new file mode 100644 index 0000000..50de8d2 --- /dev/null +++ b/inputs/lw.data.txt @@ -0,0 +1,4 @@ +00000000 +12345678 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lw.txt b/inputs/lw.txt new file mode 100644 index 0000000..7c6a2ee --- /dev/null +++ b/inputs/lw.txt @@ -0,0 +1,3 @@ +34041002 +8C820002 +00000008 \ No newline at end of file diff --git a/inputs/lwl.data.txt b/inputs/lwl.data.txt new file mode 100644 index 0000000..325d898 --- /dev/null +++ b/inputs/lwl.data.txt @@ -0,0 +1,4 @@ +00000000 +AAAA1234 +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lwl.txt b/inputs/lwl.txt new file mode 100644 index 0000000..a62ec0b --- /dev/null +++ b/inputs/lwl.txt @@ -0,0 +1,4 @@ +34041003 +34025678 +88820003 +00000008 \ No newline at end of file diff --git a/inputs/lwr.data.txt b/inputs/lwr.data.txt new file mode 100644 index 0000000..ca679b8 --- /dev/null +++ b/inputs/lwr.data.txt @@ -0,0 +1,4 @@ +00000000 +5678AAAA +00000000 +00000000 \ No newline at end of file diff --git a/inputs/lwr.txt b/inputs/lwr.txt new file mode 100644 index 0000000..22f33f9 --- /dev/null +++ b/inputs/lwr.txt @@ -0,0 +1,4 @@ +34041003 +3C021234 +98820002 +00000008 \ No newline at end of file diff --git a/inputs/reference.txt b/inputs/reference.txt index ed39c2c..ff067cb 100644 --- a/inputs/reference.txt +++ b/inputs/reference.txt @@ -364,6 +364,8 @@ JR $0 00000000 008A0000 +00000000 +00000000 register_v0 = 0xFFFFFF8A @@ -383,6 +385,8 @@ JR $0 00000000 008A0000 +00000000 +00000000 register_v0 = 0x0000008A @@ -402,6 +406,8 @@ JR $0 00000000 00008123 +00000000 +00000000 register_v0 = 0xFFFF8123 @@ -421,6 +427,8 @@ JR $0 00000000 00008123 +00000000 +00000000 register_v0 = 0x00008123 @@ -452,6 +460,8 @@ JR $0 00000000 12345678 +00000000 +00000000 register_v0 = 0x12345678 @@ -473,6 +483,8 @@ JR $0 00000000 AAAA1234 +00000000 +00000000 register_v0 = 0x12345678 @@ -494,6 +506,8 @@ JR $0 00000000 5678AAAA +00000000 +00000000 register_v0 = 0x12345678