From 6637ad813f1a6912162a9977f87e8a7c07c892ba Mon Sep 17 00:00:00 2001 From: Aadi Desai <21363892+supleed2@users.noreply.github.com> Date: Sun, 20 Dec 2020 08:46:29 -0800 Subject: [PATCH] Rename vcd to differentiate between bus and harvard --- .gitignore | 2 -- test/test_mips_cpu_bus.sh | 4 ++-- test/test_mips_cpu_harvard.sh | 4 ++-- 3 files changed, 4 insertions(+), 6 deletions(-) diff --git a/.gitignore b/.gitignore index f5c2d27..118da58 100644 --- a/.gitignore +++ b/.gitignore @@ -2,8 +2,6 @@ exec/* !exec/executable.txt *.log.txt *.out.txt -mips_cpu_harvard.vcd -mips_cpu_bus.vcd .DS_Store inputs/.DS_Store *.stderr.txt diff --git a/test/test_mips_cpu_bus.sh b/test/test_mips_cpu_bus.sh index 6d67445..4c70660 100755 --- a/test/test_mips_cpu_bus.sh +++ b/test/test_mips_cpu_bus.sh @@ -25,7 +25,7 @@ then -s mips_cpu_bus_tb \ -P mips_cpu_bus_tb.INSTR_INIT_FILE=\"inputs/${DIR}/${TESTCASE}.instr.txt\" \ -P mips_cpu_bus_tb.DATA_INIT_FILE=\"inputs/${DIR}/${TESTCASE}.data.txt\" \ - -P mips_cpu_bus_tb.TESTCASE=\"inputs/${DIR}/${TESTCASE}.vcd\" \ + -P mips_cpu_bus_tb.TESTCASE=\"inputs/${DIR}/${TESTCASE}_bus.vcd\" \ -o exec/mips_cpu_bus_tb_${TESTCASE} testbench/mips_cpu_bus_tb.v testbench/mips_cpu_bus_memory.v \ ${SRC} 2> inputs/${DIR}/${TESTCASE}.stderr.txt ./exec/mips_cpu_bus_tb_${TESTCASE} &> ./inputs/${DIR}/${TESTCASE}.log.txt; # log file for debugging (contains $display) @@ -47,7 +47,7 @@ else -s mips_cpu_bus_tb \ -P mips_cpu_bus_tb.INSTR_INIT_FILE=\"inputs/${INSTR}/${TESTCASE}.instr.txt\" \ -P mips_cpu_bus_tb.DATA_INIT_FILE=\"inputs/${INSTR}/${TESTCASE}.data.txt\" \ - -P mips_cpu_bus_tb.TESTCASE=\"inputs/${INSTR}/${TESTCASE}.vcd\" \ + -P mips_cpu_bus_tb.TESTCASE=\"inputs/${INSTR}/${TESTCASE}_bus.vcd\" \ -o exec/mips_cpu_bus_tb_${TESTCASE} testbench/mips_cpu_bus_tb.v testbench/mips_cpu_bus_memory.v \ ${SRC} 2> inputs/${INSTR}/${TESTCASE}.stderr.txt ./exec/mips_cpu_bus_tb_${TESTCASE} &> ./inputs/${INSTR}/${TESTCASE}.log.txt; # log file for debugging (contains $display) diff --git a/test/test_mips_cpu_harvard.sh b/test/test_mips_cpu_harvard.sh index 9348002..342079a 100755 --- a/test/test_mips_cpu_harvard.sh +++ b/test/test_mips_cpu_harvard.sh @@ -25,7 +25,7 @@ then -s mips_cpu_harvard_tb \ -P mips_cpu_harvard_tb.INSTR_INIT_FILE=\"inputs/${DIR}/${TESTCASE}.instr.txt\" \ -P mips_cpu_harvard_tb.DATA_INIT_FILE=\"inputs/${DIR}/${TESTCASE}.data.txt\" \ - -P mips_cpu_harvard_tb.TESTCASE=\"inputs/${DIR}/${TESTCASE}.vcd\" \ + -P mips_cpu_harvard_tb.TESTCASE=\"inputs/${DIR}/${TESTCASE}_harvard.vcd\" \ -o exec/mips_cpu_harvard_tb_${TESTCASE} testbench/mips_cpu_harvard_tb.v testbench/mips_cpu_harvard_memory.v\ ${SRC} 2> inputs/${DIR}/${TESTCASE}.stderr.txt ./exec/mips_cpu_harvard_tb_${TESTCASE} &> ./inputs/${DIR}/${TESTCASE}.log.txt; # log file for debugging (contains $display) @@ -47,7 +47,7 @@ else -s mips_cpu_harvard_tb \ -P mips_cpu_harvard_tb.INSTR_INIT_FILE=\"inputs/${INSTR}/${TESTCASE}.instr.txt\" \ -P mips_cpu_harvard_tb.DATA_INIT_FILE=\"inputs/${INSTR}/${TESTCASE}.data.txt\" \ - -P mips_cpu_harvard_tb.TESTCASE=\"inputs/${INSTR}/${TESTCASE}.vcd\" \ + -P mips_cpu_harvard_tb.TESTCASE=\"inputs/${INSTR}/${TESTCASE}_harvard.vcd\" \ -o exec/mips_cpu_harvard_tb_${TESTCASE} testbench/mips_cpu_harvard_tb.v testbench/mips_cpu_harvard_memory.v\ ${SRC} 2> inputs/${INSTR}/${TESTCASE}.stderr.txt ./exec/mips_cpu_harvard_tb_${TESTCASE} &> ./inputs/${INSTR}/${TESTCASE}.log.txt; # log file for debugging (contains $display)