diff --git a/rtl/mips_cpu_control.v b/rtl/mips_cpu_control.v index 5ce988b..08e1eae 100644 --- a/rtl/mips_cpu_control.v +++ b/rtl/mips_cpu_control.v @@ -124,6 +124,8 @@ always @(*) begin CtrlMemtoReg = 3'd3;//write data port of regfile is fed from ALUHi end else if ((op==SPECIAL)&&(funct == MFLO))begin CtrlMemtoReg = 3'd4;//write data port of regfile is fed from ALULo + end else if ((op==SPECIAL)&&(funct == JR))begin + CtrlMemRead = 0;//Read disabled during jump end else begin CtrlMemRead = 1'bx;end//Not all instructions are encompassed so, added incase for debug purposes $display("OP: %d, Funct: %d", op, funct); //CtrlALUOp Logic diff --git a/rtl/mips_cpu_npc.v b/rtl/mips_cpu_npc.v index 53cc2ae..1971762 100644 --- a/rtl/mips_cpu_npc.v +++ b/rtl/mips_cpu_npc.v @@ -9,6 +9,7 @@ reg[31:0] npc_curr; initial begin npc_curr = (32'hBFC00000 + 32'd4); + npc_out = 32'hBFC00000; end // initial always_comb begin diff --git a/test/test_mips_cpu_custom_bus.sh b/test/test_mips_cpu_custom_bus.sh old mode 100644 new mode 100755