diff --git a/rtl/mips_cpu_alu.v b/rtl/mips_cpu_alu.v index d59ed08..309e44e 100644 --- a/rtl/mips_cpu_alu.v +++ b/rtl/mips_cpu_alu.v @@ -173,10 +173,10 @@ module mips_cpu_alu( BNE: begin if A<=0 begin - ALUOut = 0; + Cond = 1; end else begin - ALUOut = ALUOut; + Cond = 0; end end