changing module name

This commit is contained in:
Ibrahim 2020-12-07 10:52:01 +00:00
parent ff912207b8
commit 11cabd3aea

View file

@ -1,4 +1,4 @@
module CPU_MU0_delay1_tb;
module mips_cpu_bus_tb;
timeunit 1ns / 10ps;
parameter RAM_INIT_FILE = "test/01-binary/countdown.hex.txt";