java.lang.Integer 1621008007 false true false true GENERATION_ID java.lang.String false true false true UNIQUE_ID java.lang.String MAX10FPGA false true false true DEVICE_FAMILY java.lang.String 10M50DAF484C7G false true false true DEVICE java.lang.String 7 false true false true DEVICE_SPEEDGRADE java.lang.Long -1 false true false true CLOCK_RATE clk java.lang.Integer -1 false true false true CLOCK_DOMAIN clk java.lang.Integer -1 false true false true RESET_DOMAIN clk java.lang.String MAX 10 false true false true DEVICE_FAMILY boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk java.lang.String clock false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n java.lang.String clock false true false true java.lang.String reset false true false true int 1 false true false true int 8 false true true true boolean false false true false true [Ljava.lang.String; false true true true boolean true false true true true boolean false false true false true int 0 false true true true java.lang.String false true false true int 1 false true true true int 1 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming false sink_data Input 24 data sink_valid Input 1 valid sink_ready Output 1 ready sink_sop Input 1 startofpacket sink_eop Input 1 endofpacket java.lang.String clock false true false true java.lang.String reset false true false true int 1 false true false true int 8 false true true true boolean false false true false true [Ljava.lang.String; false true true true boolean true false true true true boolean false false true false true int 0 false true true true java.lang.String false true false true int 1 false true true true int 1 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming true source_data Output 24 data source_eop Output 1 endofpacket source_ready Input 1 ready source_sop Output 1 startofpacket source_valid Output 1 valid embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 32 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clock false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false s_chipselect Input 1 chipselect s_read Input 1 read s_write Input 1 write s_readdata Output 32 readdata s_writedata Input 32 writedata s_address Input 3 address java.lang.String clock false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false mode Input 1 new_signal int 640 false true true true int 480 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk java.lang.String clock false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 32 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clock false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false s_chipselect Input 1 chipselect s_read Input 1 read s_write Input 1 write s_readdata Output 32 readdata s_writedata Input 32 writedata s_address Input 3 address java.lang.String clock false true false true java.lang.String reset false true false true int 1 false true false true int 8 false true true true boolean false false true false true [Ljava.lang.String; false true true true boolean true false true true true boolean false false true false true int 0 false true true true java.lang.String false true false true int 1 false true true true int 1 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming false sink_data Input 24 data sink_valid Input 1 valid sink_ready Output 1 ready sink_sop Input 1 startofpacket sink_eop Input 1 endofpacket java.lang.String clock false true false true java.lang.String reset false true false true int 1 false true false true int 8 false true true true boolean false false true false true [Ljava.lang.String; false true true true boolean true false true true true boolean false false true false true int 0 false true true true java.lang.String false true false true int 1 false true true true int 1 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming true source_data Output 24 data source_valid Output 1 valid source_ready Input 1 ready source_sop Output 1 startofpacket source_eop Output 1 endofpacket java.lang.String clock false true true true java.lang.String reset false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false vcm_i2c_sda Bidir 1 vcm_i2c_sda clk50 Input 1 clk50 vcm_i2c_scl Bidir 1 vcm_i2c_scl int 640 false true true true int 480 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk java.lang.String clock_reset false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false CAMERA_D Input 12 export CAMERA_FVAL Input 1 export CAMERA_LVAL Input 1 export CAMERA_PIXCLK Input 1 export java.lang.String clock_reset false true false true java.lang.String clock_reset_reset false true false true int 1 false true false true int 8 false true true true boolean false false true false true [Ljava.lang.String; false true true true boolean true false true true true boolean false false true false true int 0 false true true true java.lang.String false true false true int 1 false true true true int 1 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming true st_data Output 24 data st_sop Output 1 startofpacket st_eop Output 1 endofpacket st_ready Input 1 ready st_valid Output 1 valid java.lang.String MAX10FPGA false true false true DEVICE_FAMILY int 3 false true true true int 1 false true true true int 8 false true true true int 0 false true true true int 640 false true true true int 480 false true true true int 0 false true true true int 640 false true true true int 0 false true true true int 0 false true true true int 1 false true true true int 639 false true true true int 1 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 96 false true true true int 16 false true true true int 48 false true true true int 2 false true true true int 10 false true true true int 33 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 0 false true true true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false is_clk Input 1 clk java.lang.String is_clk_rst false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false rst Input 1 reset java.lang.String is_clk_rst false true false true java.lang.String is_clk_rst_reset false true false true int 1 false true false true int 8 false true true true boolean false false true false true [Ljava.lang.String; false true true true boolean true false true true true boolean false false true false true int 0 false true true true java.lang.String false true false true int 1 false true true true int 3 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming false is_data Input 24 data is_valid Input 1 valid is_ready Output 1 ready is_sop Input 1 startofpacket is_eop Input 1 endofpacket java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false vid_clk Input 1 export vid_data Output 24 export underflow Output 1 export vid_datavalid Output 1 export vid_v_sync Output 1 export vid_h_sync Output 1 export vid_f Output 1 export vid_h Output 1 export vid_v Output 1 export java.lang.String 0 true true true true java.lang.String 0 true true true true java.lang.String 2 true true true true java.lang.String 0 true true true true java.lang.String 2 true true true true java.lang.String MAX10FPGA false true false true DEVICE_FAMILY java.lang.String 0 true true true true java.lang.String 0 true true true true java.lang.String 0 true true true true java.lang.String 27 true true true true java.lang.String 27 true true true true java.lang.String MyFrameBuffer640480813falsetrue0true0000000032false1024410244110000false]]> false true false true java.lang.String MAX 10 false true false true DEVICE_FAMILY boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clock Input 1 clk java.lang.String clock false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset Input 1 reset java.lang.String clock false true false true java.lang.String reset false true false true int 1 false true false true int 8 false true true true boolean false false true false true [Ljava.lang.String; false true true true boolean true false true true true boolean false false true false true int 0 false true true true java.lang.String false true false true int 1 false true true true int 3 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming false din_ready Output 1 ready din_valid Input 1 valid din_data Input 24 data din_startofpacket Input 1 startofpacket din_endofpacket Input 1 endofpacket java.lang.String clock false true false true java.lang.String reset false true false true int 1 false true false true int 8 false true true true boolean false false true false true [Ljava.lang.String; false true true true boolean true false true true true boolean false false true false true int 0 false true true true java.lang.String false true false true int 1 false true true true int 3 false true false true java.lang.String UNKNOWN false true true true boolean false false true true true avalon_streaming true dout_ready Input 1 ready dout_valid Output 1 valid dout_data Output 24 data dout_startofpacket Output 1 startofpacket dout_endofpacket Output 1 endofpacket com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true true true boolean false false true false true java.lang.String clock false true true true java.lang.String reset false true true true int 8 false true true true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true true true int 0 false true true true int 0 false true true true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true read_master_av_address Output 32 address read_master_av_read Output 1 read read_master_av_waitrequest Input 1 waitrequest read_master_av_readdatavalid Input 1 readdatavalid read_master_av_readdata Input 32 readdata read_master_av_burstcount Output 3 burstcount false sdram s1 sdram.s1 67108864 67108864 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true true true boolean false false true false true java.lang.String clock false true true true java.lang.String reset false true true true int 8 false true true true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true true true int 0 false true true true int 0 false true true true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true write_master_av_address Output 32 address write_master_av_write Output 1 write write_master_av_writedata Output 32 writedata write_master_av_waitrequest Input 1 waitrequest write_master_av_burstcount Output 3 burstcount false sdram s1 sdram.s1 67108864 67108864 java.lang.String altpll_avalon_elaboration false true false true java.lang.String altpll_avalon_post_edit false true false true java.lang.String MAX 10 false true true true java.lang.String 5 false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String 20000 false true true true java.lang.String false true true true java.lang.String NORMAL false true true true java.lang.String AUTO false true true true java.lang.String false true true true java.lang.String CLK0 false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String AUTO false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String 2 false true true true java.lang.String 2 false true true true java.lang.String 2 false true true true java.lang.String 1 false true true true java.lang.String 2 false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String 1 false true true true java.lang.String 1 false true true true java.lang.String 1 false true true true java.lang.String 2 false true true true java.lang.String 5 false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String 0 false true true true java.lang.String 7500 false true true true java.lang.String 0 false true true true java.lang.String 0 false true true true java.lang.String 0 false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String 50 false true true true java.lang.String 50 false true true true java.lang.String 50 false true true true java.lang.String 50 false true true true java.lang.String 50 false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_USED false true true true java.lang.String PORT_USED false true true true java.lang.String PORT_USED false true true true java.lang.String PORT_USED false true true true java.lang.String PORT_USED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_USED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_USED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String PORT_USED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String PORT_UNUSED false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String false true true true java.lang.String NO false true true true java.lang.String CT#CLK2_DIVIDE_BY 1 CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_USED CT#PORT_clk3 PORT_USED CT#PORT_clk2 PORT_USED CT#PORT_clk1 PORT_USED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 2 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#CLK3_DUTY_CYCLE 50 CT#CLK3_DIVIDE_BY 2 CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#CLK3_PHASE_SHIFT 0 CT#PORT_SCANCLKENA PORT_UNUSED CT#CLK4_DIVIDE_BY 5 CT#WIDTH_CLOCK 5 CT#PORT_SCANDATAOUT PORT_UNUSED CT#CLK4_MULTIPLY_BY 2 CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#CLK1_DUTY_CYCLE 50 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#CLK1_MULTIPLY_BY 2 CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#CLK4_PHASE_SHIFT 0 CT#INCLK0_INPUT_FREQUENCY 20000 CT#CLK4_DUTY_CYCLE 50 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#CLK1_PHASE_SHIFT 7500 CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#CLK2_MULTIPLY_BY 2 CT#INTENDED_DEVICE_FAMILY {MAX 10} CT#PORT_SCANREAD PORT_UNUSED CT#CLK2_DUTY_CYCLE 50 CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_extclk3 PORT_UNUSED CT#PORT_extclk2 PORT_UNUSED CT#PORT_extclk1 PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_extclk0 PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK2_PHASE_SHIFT 0 CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 1 CT#CLK1_DIVIDE_BY 1 CT#CLK3_MULTIPLY_BY 1 CT#PORT_LOCKED PORT_USED false true false true java.lang.String PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 50.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#OUTPUT_FREQ_UNIT4 MHz PT#OUTPUT_FREQ_UNIT3 MHz PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT2 MHz PT#OUTPUT_FREQ_UNIT1 MHz PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#USE_CLK4 1 PT#USE_CLK3 1 PT#USE_CLK2 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK1 1 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#LVDS_PHASE_SHIFT_UNIT4 deg PT#LVDS_PHASE_SHIFT_UNIT3 deg PT#PLL_AUTOPLL_CHECK 1 PT#OUTPUT_FREQ_MODE4 1 PT#LVDS_PHASE_SHIFT_UNIT2 deg PT#OUTPUT_FREQ_MODE3 1 PT#LVDS_PHASE_SHIFT_UNIT1 deg PT#OUTPUT_FREQ_MODE2 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#OUTPUT_FREQ_MODE1 1 PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ4 20.00000000 PT#OUTPUT_FREQ3 25.00000000 PT#OUTPUT_FREQ2 100.00000000 PT#OUTPUT_FREQ1 100.00000000 PT#OUTPUT_FREQ0 100.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE 6 PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#PHASE_SHIFT4 0.00000000 PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT3 0.00000000 PT#DIV_FACTOR4 1 PT#PHASE_SHIFT2 0.00000000 PT#DIV_FACTOR3 1 PT#PHASE_SHIFT1 270.00000000 PT#DIV_FACTOR2 1 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR1 1 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#USE_CLKENA4 0 PT#USE_CLKENA3 0 PT#USE_CLKENA2 0 PT#USE_CLKENA1 0 PT#USE_CLKENA0 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE4 20.000000 PT#EFF_OUTPUT_FREQ_VALUE3 25.000000 PT#EFF_OUTPUT_FREQ_VALUE2 100.000000 PT#EFF_OUTPUT_FREQ_VALUE1 100.000000 PT#EFF_OUTPUT_FREQ_VALUE0 100.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK4 1 PT#STICKY_CLK3 1 PT#STICKY_CLK2 1 PT#STICKY_CLK1 1 PT#STICKY_CLK0 1 PT#MIRROR_CLK4 0 PT#EXT_FEEDBACK_RADIO 0 PT#MIRROR_CLK3 0 PT#MIRROR_CLK2 0 PT#MIRROR_CLK1 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#MIRROR_CLK0 0 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#CLKLOSS_CHECK 0 PT#PHASE_SHIFT_UNIT4 deg PT#PHASE_SHIFT_UNIT3 deg PT#PHASE_SHIFT_UNIT2 deg PT#PHASE_SHIFT_UNIT1 deg PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR4 1 PT#MULT_FACTOR3 1 PT#MULT_FACTOR2 1 PT#MULT_FACTOR1 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#DUTY_CYCLE4 50.00000000 PT#DUTY_CYCLE3 50.00000000 PT#DUTY_CYCLE2 50.00000000 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE1 50.00000000 PT#INTENDED_DEVICE_FAMILY {MAX 10} PT#DUTY_CYCLE0 50.00000000 PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1472001986172141.mif PT#ACTIVECLK_CHECK 0 false true false true java.lang.String UP#locked used UP#c4 used UP#c3 used UP#c2 used UP#c1 used UP#c0 used UP#areset used UP#inclk0 used false true false true java.lang.String IN#WIDTH_CLOCK 1 IN#CLK0_DUTY_CYCLE 1 IN#CLK2_DIVIDE_BY 1 IN#PLL_TARGET_HARCOPY_CHECK 1 IN#CLK3_DIVIDE_BY 1 IN#CLK4_MULTIPLY_BY 1 IN#CLK1_MULTIPLY_BY 1 IN#CLK3_DUTY_CYCLE 1 IN#CLK4_DIVIDE_BY 1 IN#SWITCHOVER_COUNT_EDIT 1 IN#INCLK0_INPUT_FREQUENCY 1 IN#PLL_LVDS_PLL_CHECK 1 IN#PLL_AUTOPLL_CHECK 1 IN#PLL_FASTPLL_CHECK 1 IN#CLK1_DUTY_CYCLE 1 IN#PLL_ENHPLL_CHECK 1 IN#CLK2_MULTIPLY_BY 1 IN#DIV_FACTOR4 1 IN#DIV_FACTOR3 1 IN#DIV_FACTOR2 1 IN#DIV_FACTOR1 1 IN#DIV_FACTOR0 1 IN#LVDS_MODE_DATA_RATE_DIRTY 1 IN#CLK4_DUTY_CYCLE 1 IN#GLOCK_COUNTER_EDIT 1 IN#CLK2_DUTY_CYCLE 1 IN#CLK0_DIVIDE_BY 1 IN#CLK3_MULTIPLY_BY 1 IN#MULT_FACTOR4 1 IN#MULT_FACTOR3 1 IN#MULT_FACTOR2 1 IN#MULT_FACTOR1 1 IN#MULT_FACTOR0 1 IN#CLK0_MULTIPLY_BY 1 IN#USE_MIL_SPEED_GRADE 1 IN#CLK1_DIVIDE_BY 1 false true false true java.lang.String MF#areset 1 MF#clk 1 MF#locked 1 MF#inclk 1 false true false true java.lang.String IF#phasecounterselect {input 3} IF#locked {output 0} IF#reset {input 0} IF#clk {input 0} IF#phaseupdown {input 0} IF#scandone {output 0} IF#readdata {output 32} IF#write {input 0} IF#scanclk {input 0} IF#phasedone {output 0} IF#c4 {output 0} IF#c3 {output 0} IF#address {input 2} IF#c2 {output 0} IF#c1 {output 0} IF#c0 {output 0} IF#writedata {input 32} IF#read {input 0} IF#areset {input 0} IF#scanclkena {input 0} IF#scandataout {output 0} IF#configupdate {input 0} IF#phasestep {input 0} IF#scandata {input 0} false true false true java.lang.String 0 false true false true java.lang.String MAX10FPGA false true false true DEVICE_FAMILY java.lang.Long 50000000 false true false true CLOCK_RATE inclk_interface java.lang.String MAX 10 false true false true DEVICE_FAMILY boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String inclk_interface false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset Input 1 reset embeddedsw.configuration.isMemoryDevice false embeddedsw.configuration.isNonVolatileStorage false embeddedsw.configuration.isPrintableDevice false com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 16 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String inclk_interface false true true true java.lang.String inclk_interface_reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 0 false true false true int 0 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false read Input 1 read write Input 1 write address Input 2 address readdata Output 32 readdata writedata Input 32 writedata java.lang.String false true true true long 100000000 false true true true boolean true false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true c0 Output 1 clk java.lang.String false true true true long 100000000 false true true true boolean true false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true c1 Output 1 clk java.lang.String false true true true long 100000000 false true true true boolean true false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true c2 Output 1 clk false sdram clk sdram.clk false TERASIC_AUTO_FOCUS_0 clock TERASIC_AUTO_FOCUS_0.clock false alt_vip_vfb_0 clock alt_vip_vfb_0.clock false EEE_IMGPROC_0 clock EEE_IMGPROC_0.clock false TERASIC_CAMERA_0 clock_reset TERASIC_CAMERA_0.clock_reset false alt_vip_itc_0 is_clk_rst alt_vip_itc_0.is_clk_rst java.lang.String false true true true long 25000000 false true true true boolean true false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true c3 Output 1 clk java.lang.String false true true true long 20000000 false true true true boolean true false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true c4 Output 1 clk java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false areset Input 1 export java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false locked Output 1 export long 50000000 false true true true boolean true false true true true long 0 false true false true CLOCK_RATE clk_in com.altera.sopcmodel.reset.Reset$Edges NONE false true true true java.lang.String UNKNOWN false true true true boolean false false true true true qsys.ui.export_name clk boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false in_clk Input 1 clk qsys.ui.export_name reset java.lang.String false true true true com.altera.sopcmodel.reset.Reset$Edges NONE false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n java.lang.String clk_in false true true true long 50000000 false true true true boolean true false true true true boolean true false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true clk_out Output 1 clk false jtag_uart clk jtag_uart.clk false sysid_qsys clk sysid_qsys.clk false timer clk timer.clk false led clk led.clk false sw clk sw.clk false key clk key.clk false mipi_reset_n clk mipi_reset_n.clk false mipi_pwdn_n clk mipi_pwdn_n.clk false nios2_gen2 clk nios2_gen2.clk false onchip_memory2_0 clk1 onchip_memory2_0.clk1 false i2c_opencores_mipi clock i2c_opencores_mipi.clock false i2c_opencores_camera clock i2c_opencores_camera.clock false altpll_0 inclk_interface altpll_0.inclk_interface java.lang.String false true true true java.lang.String clk_in_reset false true true true [Ljava.lang.String; clk_in_reset false true true true com.altera.sopcmodel.reset.Reset$Edges NONE false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset true reset_n_out Output 1 reset_n java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false wb_clk_i Input 1 clk java.lang.String clock false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false wb_rst_i Input 1 reset java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false scl_pad_io Bidir 1 export sda_pad_io Bidir 1 export embeddedsw.configuration.isMemoryDevice false embeddedsw.configuration.isNonVolatileStorage false embeddedsw.configuration.isPrintableDevice false com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clock false true true true java.lang.String clock_reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false wb_adr_i Input 3 address wb_dat_i Input 8 writedata wb_dat_o Output 8 readdata wb_we_i Input 1 write wb_stb_i Input 1 chipselect wb_ack_o Output 1 waitrequest_n com.altera.entityinterfaces.IConnectionPoint i2c_opencores_camera.avalon_slave_0 false true true true java.lang.String clock false true false true java.lang.String clock_reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false wb_inta_o Output 1 irq java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false wb_clk_i Input 1 clk java.lang.String clock false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false wb_rst_i Input 1 reset java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false scl_pad_io Bidir 1 export sda_pad_io Bidir 1 export embeddedsw.configuration.isMemoryDevice false embeddedsw.configuration.isNonVolatileStorage false embeddedsw.configuration.isPrintableDevice false com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clock false true true true java.lang.String clock_reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false wb_adr_i Input 3 address wb_dat_i Input 8 writedata wb_dat_o Output 8 readdata wb_we_i Input 1 write wb_stb_i Input 1 chipselect wb_ack_o Output 1 waitrequest_n com.altera.entityinterfaces.IConnectionPoint i2c_opencores_mipi.avalon_slave_0 false true true true java.lang.String clock false true false true java.lang.String clock_reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false wb_inta_o Output 1 irq embeddedsw.CMacro.READ_DEPTH 64 embeddedsw.CMacro.READ_THRESHOLD 8 embeddedsw.CMacro.WRITE_DEPTH 64 embeddedsw.CMacro.WRITE_THRESHOLD 8 embeddedsw.dts.compatible altr,juart-1.0 embeddedsw.dts.group serial embeddedsw.dts.name juart embeddedsw.dts.vendor altr boolean false false true false true int 0 false true false true int 64 false true true true int 8 false true true true java.lang.String false false false true java.lang.String NO_INTERACTIVE_WINDOWS false true false true boolean false false true true true boolean false false true true true boolean false false true false true int 64 false true true true int 8 false true true true long 50000000 false true false true CLOCK_RATE clk java.lang.String 2.0 false true false true AVALON_SPEC boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false rst_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 2 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean true false true false true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false av_chipselect Input 1 chipselect av_address Input 1 address av_read_n Input 1 read_n av_readdata Output 32 readdata av_write_n Input 1 write_n av_writedata Input 32 writedata av_waitrequest Output 1 waitrequest com.altera.entityinterfaces.IConnectionPoint jtag_uart.avalon_jtag_slave false true true true java.lang.String clk false true false true java.lang.String reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false av_irq Output 1 irq embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 2 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 50000000 embeddedsw.CMacro.HAS_IN 1 embeddedsw.CMacro.HAS_OUT 0 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 2 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false false true true boolean false false true true true java.lang.String Input false true true true java.lang.String RISING false false true true boolean false false true true true java.lang.String LEVEL false false true true long 0 false false true true boolean false false true true true long 0 false false true true int 2 false true true true long 50000000 false true false true CLOCK_RATE clk boolean false true true false true boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false in_port Input 2 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 10 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 50000000 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 10 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false true true true boolean false false false true true java.lang.String Output false true true true java.lang.String RISING false false true true boolean false false false true true java.lang.String LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 10 false true true true long 50000000 false true false true CLOCK_RATE clk boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address write_n Input 1 write_n writedata Input 32 writedata chipselect Input 1 chipselect readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 10 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 1 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 50000000 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 1 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false true true true boolean false false false true true java.lang.String Output false true true true java.lang.String RISING false false true true boolean false false false true true java.lang.String LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 1 false true true true long 50000000 false true false true CLOCK_RATE clk boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address write_n Input 1 write_n writedata Input 32 writedata chipselect Input 1 chipselect readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 1 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 1 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 50000000 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 1 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false true true true boolean false false false true true java.lang.String Output false true true true java.lang.String RISING false false true true boolean false false false true true java.lang.String LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 1 false true true true long 50000000 false true false true CLOCK_RATE clk boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address write_n Input 1 write_n writedata Input 32 writedata chipselect Input 1 chipselect readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 1 export debug.hostConnection type jtag id 70:34|110:135 embeddedsw.CMacro.BIG_ENDIAN 0 embeddedsw.CMacro.BREAK_ADDR 0x00040820 embeddedsw.CMacro.CPU_ARCH_NIOS2_R1 embeddedsw.CMacro.CPU_FREQ 50000000u embeddedsw.CMacro.CPU_ID_SIZE 1 embeddedsw.CMacro.CPU_ID_VALUE 0x00000000 embeddedsw.CMacro.CPU_IMPLEMENTATION "fast" embeddedsw.CMacro.DATA_ADDR_WIDTH 19 embeddedsw.CMacro.DCACHE_BYPASS_MASK 0x80000000 embeddedsw.CMacro.DCACHE_LINE_SIZE 32 embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 5 embeddedsw.CMacro.DCACHE_SIZE 2048 embeddedsw.CMacro.EXCEPTION_ADDR 0x00020020 embeddedsw.CMacro.FLASH_ACCELERATOR_LINES 0 embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE 0 embeddedsw.CMacro.FLUSHDA_SUPPORTED embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT 0 embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT 1 embeddedsw.CMacro.HARDWARE_MULX_PRESENT 0 embeddedsw.CMacro.HAS_DEBUG_CORE 1 embeddedsw.CMacro.HAS_DEBUG_STUB embeddedsw.CMacro.HAS_EXTRA_EXCEPTION_INFO embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION embeddedsw.CMacro.HAS_JMPI_INSTRUCTION embeddedsw.CMacro.ICACHE_LINE_SIZE 32 embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 5 embeddedsw.CMacro.ICACHE_SIZE 4096 embeddedsw.CMacro.INITDA_SUPPORTED embeddedsw.CMacro.INST_ADDR_WIDTH 19 embeddedsw.CMacro.NUM_OF_SHADOW_REG_SETS 0 embeddedsw.CMacro.OCI_VERSION 1 embeddedsw.CMacro.RESET_ADDR 0x00020000 embeddedsw.configuration.DataCacheVictimBufImpl ram embeddedsw.configuration.HDLSimCachesCleared 1 embeddedsw.configuration.breakOffset 32 embeddedsw.configuration.breakSlave nios2_gen2.debug_mem_slave embeddedsw.configuration.cpuArchitecture Nios II embeddedsw.configuration.exceptionOffset 32 embeddedsw.configuration.exceptionSlave onchip_memory2_0.s1 embeddedsw.configuration.resetOffset 0 embeddedsw.configuration.resetSlave onchip_memory2_0.s1 embeddedsw.dts.compatible altr,nios2-1.1 embeddedsw.dts.group cpu embeddedsw.dts.name nios2 embeddedsw.dts.params.altr,exception-addr 0x00020020 embeddedsw.dts.params.altr,has-initda 1 embeddedsw.dts.params.altr,has-mul 1 embeddedsw.dts.params.altr,implementation "fast" embeddedsw.dts.params.altr,reset-addr 0x00020000 embeddedsw.dts.params.clock-frequency 50000000u embeddedsw.dts.params.dcache-line-size 32 embeddedsw.dts.params.dcache-size 2048 embeddedsw.dts.params.icache-line-size 32 embeddedsw.dts.params.icache-size 4096 embeddedsw.dts.vendor altr boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false true true true boolean false false true false true boolean false false true false true boolean true false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true int 1 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true int 0 false false true true int 0 false true true true boolean true false true true true boolean false false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true boolean true false true false true boolean false false true false true boolean false false true false true boolean false false false true true boolean false false true true true boolean false false true true true boolean true false false true true boolean false false true true true boolean true false true true true boolean false false true false true boolean false false true false true int 0 false true true true int 8 false false true true int 8 false false true true int 0 false false true true int 0 false true true true int 32 false true true true int 0 false true true true int 32 false true false true java.lang.String false true false true java.lang.String false true false true java.lang.String onchip_memory2_0.s1 false true true true java.lang.String None false false true true java.lang.String onchip_memory2_0.s1 false true true true java.lang.String None false true false true java.lang.String Internal false true true true java.lang.String Dynamic false true true true int 8 false true true true int 1 false true false true boolean false true true false true java.lang.String fast_le_shift true true false true java.lang.String mul_fast32 true true false true int 0 false true true true int 2 false false true true int 0 false false true true int 1 false false true true java.lang.String no_div false true true true int 12 false false true true int 12 false false true true int 4 false false true true int 6 false false true true int 7 false false true true int 16 false false true true int 8 false false true true java.lang.String Fast false true true true int 4096 false true true true int 2 false false true true int 0 false true true true java.lang.String Automatic false true false true java.lang.String Automatic false true false true int 0 false true true true java.lang.String None false true true true java.lang.String false false true true true java.lang.String ram false true true true int 2048 false true true true java.lang.String Automatic false true false true java.lang.String Automatic false true false true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true true true boolean true false true false true boolean true false true false true boolean true false true false true boolean true false true false true boolean true false true false true boolean true false true false true java.lang.String Automatic false true false true java.lang.String Automatic false true false true boolean false false true false true java.lang.String Automatic false true false true java.lang.String Automatic false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean true false true false true boolean false false true true true boolean false false true false true int 0 false true false true java.lang.String _128 false false true true int 0 false true true true int 0 false true true true java.lang.String none false true true true java.lang.String onchip_trace false false true true boolean false false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 131072 true true true true int 131104 true true true true int 264224 true true false true int 0 true true true true java.lang.String false true true false true int 2048 true true false true java.lang.String nios2_gen2.debug_mem_slave true true false true int 32 true true false true boolean false true true false true boolean true true true false true java.lang.String "synthesis translate_on" true true false true java.lang.String "synthesis translate_off" true true false true boolean false true true false true boolean false true true false true boolean false true true false true boolean false true true false true int 19 false true false true ADDRESS_WIDTH instruction_master int 1 false true false true ADDRESS_WIDTH flash_instruction_master int 19 false true false true ADDRESS_WIDTH data_master int 1 false true false true ADDRESS_WIDTH tightly_coupled_data_master_0 int 1 false true false true ADDRESS_WIDTH tightly_coupled_data_master_1 int 1 false true false true ADDRESS_WIDTH tightly_coupled_data_master_2 int 1 false true false true ADDRESS_WIDTH tightly_coupled_data_master_3 int 1 false true false true ADDRESS_WIDTH tightly_coupled_instruction_master_0 int 1 false true false true ADDRESS_WIDTH tightly_coupled_instruction_master_1 int 1 false true false true ADDRESS_WIDTH tightly_coupled_instruction_master_2 int 1 false true false true ADDRESS_WIDTH tightly_coupled_instruction_master_3 int 1 false true false true ADDRESS_WIDTH data_master_high_performance int 1 false true false true ADDRESS_WIDTH instruction_master_high_performance java.lang.String ]]> false true false true ADDRESS_MAP instruction_master java.lang.String false true false true ADDRESS_MAP flash_instruction_master java.lang.String ]]> false true false true ADDRESS_MAP data_master java.lang.String false true false true ADDRESS_MAP tightly_coupled_data_master_0 java.lang.String false true false true ADDRESS_MAP tightly_coupled_data_master_1 java.lang.String false true false true ADDRESS_MAP tightly_coupled_data_master_2 java.lang.String false true false true ADDRESS_MAP tightly_coupled_data_master_3 java.lang.String false true false true ADDRESS_MAP tightly_coupled_instruction_master_0 java.lang.String false true false true ADDRESS_MAP tightly_coupled_instruction_master_1 java.lang.String false true false true ADDRESS_MAP tightly_coupled_instruction_master_2 java.lang.String false true false true ADDRESS_MAP tightly_coupled_instruction_master_3 java.lang.String false true false true ADDRESS_MAP data_master_high_performance java.lang.String false true false true ADDRESS_MAP instruction_master_high_performance long 50000000 false true false true CLOCK_RATE clk java.lang.String MAX10FPGA false true false true DEVICE_FAMILY long 15 false true false true INTERRUPTS_USED irq java.lang.String ]]> false true false true CUSTOM_INSTRUCTION_SLAVES custom_instruction_master java.lang.String ]]> false true false true CUSTOM_INSTRUCTION_SLAVES custom_instruction_master_a java.lang.String ]]> false true false true CUSTOM_INSTRUCTION_SLAVES custom_instruction_master_b java.lang.String ]]> false true false true CUSTOM_INSTRUCTION_SLAVES custom_instruction_master_c java.lang.String ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false true DEVICE_FEATURES java.lang.String 10M50DAF484C7G false true false true DEVICE java.lang.String 7 false true false true DEVICE_SPEEDGRADE java.lang.Integer 1 false true false true CLOCK_DOMAIN clk java.lang.Integer 1 false true false true RESET_DOMAIN clk java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n reset_req Input 1 reset_req debug.providesServices master com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true boolean true false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true true true int 0 false true true true int 0 false true true true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true d_address Output 19 address d_byteenable Output 4 byteenable d_read Output 1 read d_readdata Input 32 readdata d_waitrequest Input 1 waitrequest d_write Output 1 write d_writedata Output 32 writedata d_readdatavalid Input 1 readdatavalid debug_mem_slave_debugaccess_to_roms Output 1 debugaccess false jtag_uart avalon_jtag_slave jtag_uart.avalon_jtag_slave 266472 8 false i2c_opencores_mipi avalon_slave_0 i2c_opencores_mipi.avalon_slave_0 266336 32 false i2c_opencores_camera avalon_slave_0 i2c_opencores_camera.avalon_slave_0 266304 32 false sysid_qsys control_slave sysid_qsys.control_slave 266464 8 false nios2_gen2 debug_mem_slave nios2_gen2.debug_mem_slave 264192 2048 false TERASIC_AUTO_FOCUS_0 mm_ctrl TERASIC_AUTO_FOCUS_0.mm_ctrl 266272 32 false altpll_0 pll_slave altpll_0.pll_slave 266448 16 false onchip_memory2_0 s1 onchip_memory2_0.s1 131072 100000 false timer s1 timer.s1 266240 32 false led s1 led.s1 266432 16 false sw s1 sw.s1 266416 16 false key s1 key.s1 266400 16 false mipi_reset_n s1 mipi_reset_n.s1 266384 16 false mipi_pwdn_n s1 mipi_pwdn_n.s1 266368 16 false EEE_IMGPROC_0 s1 EEE_IMGPROC_0.s1 270336 32 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true true true boolean true false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true int 32 false true false true int 0 false true true true int 0 false true true true int 0 false true true true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true i_address Output 19 address i_read Output 1 read i_readdata Input 32 readdata i_waitrequest Input 1 waitrequest i_readdatavalid Input 1 readdatavalid false nios2_gen2 debug_mem_slave nios2_gen2.debug_mem_slave 264192 2048 false onchip_memory2_0 s1 onchip_memory2_0.s1 131072 100000 com.altera.entityinterfaces.IConnectionPoint nios2_gen2.data_master false true true true java.lang.String clk false true false true java.lang.String reset false true false true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme INDIVIDUAL_REQUESTS false true true true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt true irq Input 32 irq false i2c_opencores_mipi interrupt_sender i2c_opencores_mipi.interrupt_sender 0 false i2c_opencores_camera interrupt_sender i2c_opencores_camera.interrupt_sender 1 false jtag_uart irq jtag_uart.irq 2 false timer irq timer.irq 3 java.lang.String clk false true true true java.lang.String false true true true [Ljava.lang.String; none false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset true debug_reset_request Output 1 reset embeddedsw.configuration.hideDevice 1 qsys.ui.connect instruction_master,data_master com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 2048 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger 0 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false false true true boolean true false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false debug_mem_slave_address Input 9 address debug_mem_slave_byteenable Input 4 byteenable debug_mem_slave_debugaccess Input 1 debugaccess debug_mem_slave_read Input 1 read debug_mem_slave_readdata Output 32 readdata debug_mem_slave_waitrequest Output 1 waitrequest debug_mem_slave_write Input 1 write debug_mem_slave_writedata Input 32 writedata java.lang.String true true false true int 8 false true false true int 0 false false true true boolean false false true false true int 8 false true false true int 0 true true false true boolean false false true false true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction true dummy_ci_port Output 1 readra embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 embeddedsw.CMacro.CONTENTS_INFO "" embeddedsw.CMacro.DUAL_PORT 0 embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE AUTO embeddedsw.CMacro.INIT_CONTENTS_FILE Qsys_onchip_memory2_0 embeddedsw.CMacro.INIT_MEM_CONTENT 0 embeddedsw.CMacro.INSTANCE_ID NONE embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED 0 embeddedsw.CMacro.RAM_BLOCK_TYPE AUTO embeddedsw.CMacro.READ_DURING_WRITE_MODE DONT_CARE embeddedsw.CMacro.SINGLE_CLOCK_OP 0 embeddedsw.CMacro.SIZE_MULTIPLE 1 embeddedsw.CMacro.SIZE_VALUE 100000 embeddedsw.CMacro.WRITABLE 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.GENERATE_HEX 1 embeddedsw.memoryInfo.HAS_BYTE_LANE 0 embeddedsw.memoryInfo.HEX_INSTALL_DIR QPF_DIR embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 embeddedsw.memoryInfo.MEM_INIT_FILENAME Qsys_onchip_memory2_0 postgeneration.simulation.init_file.param_name INIT_FILE postgeneration.simulation.init_file.type MEM_INIT boolean false false true true true java.lang.String AUTO false true true true int 32 false true true true int 32 false true false true boolean false false true true true boolean false false false true true boolean false true true false true boolean false false true true true java.lang.String onchip_mem.hex false false true true boolean false false false true true java.lang.String NONE false false true true long 100000 false true true true java.lang.String DONT_CARE false false true true boolean false false true false true int 0 false true false true boolean false false false true true boolean false true true false true int 1 false true true true int 1 false false true true boolean false false false true true boolean false false false false true boolean false false false true true boolean true false true true true boolean false false true true true boolean true false true true true java.lang.String Qsys_onchip_memory2_0 false true false true UNIQUE_ID java.lang.String MAX10FPGA false true false true DEVICE_FAMILY java.lang.String ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 0 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 0 HAS_BSDL_FILE_GENERATION 0 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 0 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 0 HAS_FPGA_XCHANGE_SUPPORT 0 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 0 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 1 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 0 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 0 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 0 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 0 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 0 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 1 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false true DEVICE_FEATURES int 15 true true false true int 15 true true false true int 32 true true false true int 32 true true false true java.lang.String Automatic true true false true boolean false true true false true java.lang.String Qsys_onchip_memory2_0.hex true true false true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 100000 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk1 false true true true java.lang.String reset1 false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 100000 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 1 false true true true int 0 false true false true int 0 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 15 address clken Input 1 clken chipselect Input 1 chipselect write Input 1 write readdata Output 32 readdata writedata Input 32 writedata byteenable Input 4 byteenable java.lang.String clk1 false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset Input 1 reset reset_req Input 1 reset_req embeddedsw.CMacro.CAS_LATENCY 3 embeddedsw.CMacro.CONTENTS_INFO embeddedsw.CMacro.INIT_NOP_DELAY 0.0 embeddedsw.CMacro.INIT_REFRESH_COMMANDS 2 embeddedsw.CMacro.IS_INITIALIZED 1 embeddedsw.CMacro.POWERUP_DELAY 100.0 embeddedsw.CMacro.REFRESH_PERIOD 15.625 embeddedsw.CMacro.REGISTER_DATA_IN 1 embeddedsw.CMacro.SDRAM_ADDR_WIDTH 25 embeddedsw.CMacro.SDRAM_BANK_WIDTH 2 embeddedsw.CMacro.SDRAM_COL_WIDTH 10 embeddedsw.CMacro.SDRAM_DATA_WIDTH 16 embeddedsw.CMacro.SDRAM_NUM_BANKS 4 embeddedsw.CMacro.SDRAM_NUM_CHIPSELECTS 1 embeddedsw.CMacro.SDRAM_ROW_WIDTH 13 embeddedsw.CMacro.SHARED_DATA 0 embeddedsw.CMacro.SIM_MODEL_BASE 1 embeddedsw.CMacro.STARVATION_INDICATOR 0 embeddedsw.CMacro.TRISTATE_BRIDGE_SLAVE "" embeddedsw.CMacro.T_AC 5.5 embeddedsw.CMacro.T_MRD 3 embeddedsw.CMacro.T_RCD 20.0 embeddedsw.CMacro.T_RFC 70.0 embeddedsw.CMacro.T_RP 20.0 embeddedsw.CMacro.T_WR 14.0 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 16 postgeneration.simulation.init_file.param_name INIT_FILE postgeneration.simulation.init_file.param_owner wire postgeneration.simulation.init_file.type MEM_INIT testbench.partner.map.clk my_partner.clk testbench.partner.map.wire my_partner.conduit testbench.partner.my_partner.class altera_sdram_partner_module testbench.partner.my_partner.parameter.CAS_LATENCY 3 testbench.partner.my_partner.parameter.CONTR_NAME Qsys_sdram testbench.partner.my_partner.parameter.SDRAM_BANK_WIDTH 2 testbench.partner.my_partner.parameter.SDRAM_COL_WIDTH 10 testbench.partner.my_partner.parameter.SDRAM_DATA_WIDTH 16 testbench.partner.my_partner.parameter.SDRAM_NUM_CHIPSELECTS 1 testbench.partner.my_partner.parameter.SDRAM_ROW_WIDTH 13 double 5.5 false true true true double 20.0 false true true true double 70.0 false true true true double 20.0 false true true true double 14.0 false true true true int 3 false true true true int 10 false true true true int 16 false true true true boolean true false true true true int 2 false true true true java.lang.String single_Micron_MT48LC4M32B2_7_chip false true false true int 4 false true true true int 1 false true true true boolean false false true false true double 100.0 false true true true double 15.625 false true true true int 13 false true true true int 0 false false false true long 3 false true false true double 0.0 false true false true boolean true false true false true long 100000000 false true false true CLOCK_RATE clk java.lang.String Qsys_sdram false true false true UNIQUE_ID long 67108864 true true false true int 25 true true false true int 2 true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 100000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 67108864 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true boolean false false true false true boolean false false true true true int 7 false true true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false false true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false az_addr Input 25 address az_be_n Input 2 byteenable_n az_cs Input 1 chipselect az_data Input 16 writedata az_rd_n Input 1 read_n az_wr_n Input 1 write_n za_data Output 16 readdata za_valid Output 1 readdatavalid za_waitrequest Output 1 waitrequest java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false zs_addr Output 13 export zs_ba Output 2 export zs_cas_n Output 1 export zs_cke Output 1 export zs_cs_n Output 1 export zs_dq Bidir 16 export zs_dqm Output 2 export zs_ras_n Output 1 export zs_we_n Output 1 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 10 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 50000000 embeddedsw.CMacro.HAS_IN 1 embeddedsw.CMacro.HAS_OUT 0 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 10 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false false true true boolean false false true true true java.lang.String Input false true true true java.lang.String RISING false false true true boolean false false true true true java.lang.String LEVEL false false true true long 0 false false true true boolean false false true true true long 0 false false true true int 10 false true true true long 50000000 false true false true CLOCK_RATE clk boolean false true true false true boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false in_port Input 10 export embeddedsw.CMacro.ID 0 embeddedsw.CMacro.TIMESTAMP 1621008007 embeddedsw.dts.compatible altr,sysid-1.0 embeddedsw.dts.group sysid embeddedsw.dts.name sysid embeddedsw.dts.params.id 0 embeddedsw.dts.params.timestamp 1621008007 embeddedsw.dts.vendor altr int 0 false true true true int 1621008007 true false false true GENERATION_ID java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clock Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isMemoryDevice false embeddedsw.configuration.isNonVolatileStorage false embeddedsw.configuration.isPrintableDevice false com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false readdata Output 32 readdata address Input 1 address embeddedsw.CMacro.ALWAYS_RUN 0 embeddedsw.CMacro.COUNTER_SIZE 32 embeddedsw.CMacro.FIXED_PERIOD 0 embeddedsw.CMacro.FREQ 50000000 embeddedsw.CMacro.LOAD_VALUE 49999 embeddedsw.CMacro.MULT 0.001 embeddedsw.CMacro.PERIOD 1 embeddedsw.CMacro.PERIOD_UNITS ms embeddedsw.CMacro.RESET_OUTPUT 0 embeddedsw.CMacro.SNAPSHOT 1 embeddedsw.CMacro.TICKS_PER_SEC 1000 embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT 0 embeddedsw.dts.compatible altr,timer-1.0 embeddedsw.dts.group timer embeddedsw.dts.name timer embeddedsw.dts.params.clock-frequency 50000000 embeddedsw.dts.vendor altr boolean false false true true true int 32 false true true true boolean false false true true true java.lang.String 1 false true true true java.lang.String MSEC false true true true boolean false false true true true boolean true false true true true boolean false false true true true long 50000000 false true false true CLOCK_RATE clk int 2 false true false true java.lang.String FULL_FEATURED true true false true java.lang.String ms true true false true double 0.001 true true false true java.lang.String 49999 true true false true double 0.001 true true false true double 1000.0 true true false true int 3 true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 50000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 embeddedsw.configuration.isTimerDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address writedata Input 16 writedata readdata Output 16 readdata chipselect Input 1 chipselect write_n Input 1 write_n com.altera.entityinterfaces.IConnectionPoint timer.s1 false true true true java.lang.String clk false true false true java.lang.String reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq int 1 false true true true java.math.BigInteger 0x000410e8 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master jtag_uart avalon_jtag_slave int 1 false true true true java.math.BigInteger 0x00041060 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master i2c_opencores_mipi avalon_slave_0 int 1 false true true true java.math.BigInteger 0x00041040 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master i2c_opencores_camera avalon_slave_0 int 1 false true true true java.math.BigInteger 0x000410e0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master sysid_qsys control_slave int 1 false true true true java.math.BigInteger 0x00040800 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master nios2_gen2 debug_mem_slave int 1 false true true true java.math.BigInteger 0x00041020 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master TERASIC_AUTO_FOCUS_0 mm_ctrl int 1 false true true true java.math.BigInteger 0x000410d0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master altpll_0 pll_slave int 1 false true true true java.math.BigInteger 0x00020000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master onchip_memory2_0 s1 int 1 false true true true java.math.BigInteger 0x00041000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master timer s1 int 1 false true true true java.math.BigInteger 0x000410c0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master led s1 int 1 false true true true java.math.BigInteger 0x000410b0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master sw s1 int 1 false true true true java.math.BigInteger 0x000410a0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master key s1 int 1 false true true true java.math.BigInteger 0x00041090 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master mipi_reset_n s1 int 1 false true true true java.math.BigInteger 0x00041080 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master mipi_pwdn_n s1 int 1 false true true true java.math.BigInteger 0x00042000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 data_master EEE_IMGPROC_0 s1 int 1 false true true true java.math.BigInteger 0x00040800 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 instruction_master nios2_gen2 debug_mem_slave int 1 false true true true java.math.BigInteger 0x00020000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 instruction_master onchip_memory2_0 s1 int 50 false true true true java.math.BigInteger 0x04000000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true alt_vip_vfb_0 read_master sdram s1 int 30 false true true true java.math.BigInteger 0x04000000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true alt_vip_vfb_0 write_master sdram s1 java.lang.String UNKNOWN false true true true boolean false false true true true TERASIC_CAMERA_0 avalon_streaming_source alt_vip_vfb_0 din java.lang.String UNKNOWN false true true true boolean false false true true true EEE_IMGPROC_0 avalon_streaming_source alt_vip_itc_0 din java.lang.String UNKNOWN false true true true boolean false false true true true TERASIC_AUTO_FOCUS_0 dout EEE_IMGPROC_0 avalon_streaming_sink java.lang.String UNKNOWN false true true true boolean false false true true true alt_vip_vfb_0 dout TERASIC_AUTO_FOCUS_0 din java.lang.String UNKNOWN false true true true boolean false false true true true altpll_0 c2 sdram clk java.lang.String UNKNOWN false true true true boolean false false true true true altpll_0 c2 TERASIC_AUTO_FOCUS_0 clock java.lang.String UNKNOWN false true true true boolean false false true true true altpll_0 c2 alt_vip_vfb_0 clock java.lang.String UNKNOWN false true true true boolean false false true true true altpll_0 c2 EEE_IMGPROC_0 clock java.lang.String UNKNOWN false true true true boolean false false true true true altpll_0 c2 TERASIC_CAMERA_0 clock_reset java.lang.String UNKNOWN false true true true boolean false false true true true altpll_0 c2 alt_vip_itc_0 is_clk_rst java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk jtag_uart clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk sysid_qsys clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk timer clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk led clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk sw clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk key clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk mipi_reset_n clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk mipi_pwdn_n clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk nios2_gen2 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk onchip_memory2_0 clk1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk i2c_opencores_mipi clock java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk i2c_opencores_camera clock java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk altpll_0 inclk_interface int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 irq i2c_opencores_mipi interrupt_sender int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 irq i2c_opencores_camera interrupt_sender int 2 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 irq jtag_uart irq int 3 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 irq timer irq java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset i2c_opencores_mipi clock_reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset i2c_opencores_camera clock_reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset TERASIC_CAMERA_0 clock_reset_reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset altpll_0 inclk_interface_reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset alt_vip_itc_0 is_clk_rst_reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset sdram reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset nios2_gen2 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset alt_vip_vfb_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset jtag_uart reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset key reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset led reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset mipi_pwdn_n reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset mipi_reset_n reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset sw reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset sysid_qsys reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset timer reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset TERASIC_AUTO_FOCUS_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset EEE_IMGPROC_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_50 clk_reset onchip_memory2_0 reset1 java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request i2c_opencores_mipi clock_reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request i2c_opencores_camera clock_reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request TERASIC_CAMERA_0 clock_reset_reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request alt_vip_itc_0 is_clk_rst_reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request jtag_uart reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request sysid_qsys reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request timer reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request led reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request sw reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request key reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request mipi_reset_n reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request mipi_pwdn_n reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request nios2_gen2 reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request sdram reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request alt_vip_vfb_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request TERASIC_AUTO_FOCUS_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request EEE_IMGPROC_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true nios2_gen2 debug_reset_request onchip_memory2_0 reset1 1 EEE_IMGPROC com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule EEE_IMGPROC 1.0 19 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input 16.1 19 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input 16.1 4 avalon_streaming_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Streaming Sink 16.1 4 avalon_streaming_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Streaming Source 16.1 16 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave 16.1 14 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit 16.1 1 TERASIC_AUTO_FOCUS com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule TERASIC_AUTO_FOCUS 1.0 1 TERASIC_CAMERA com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule TERASIC_CAMERA 1.0 1 alt_vip_itc com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clocked Video Output 14.0 1 alt_vip_vfb com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Frame Buffer 13.1 4 avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master 16.1 1 altpll com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Avalon ALTPLL 16.1 5 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output 16.1 1 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source 16.1 1 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input 16.1 1 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input 16.1 1 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output 16.1 1 reset_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output 16.1 2 i2c_opencores com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule I2C Master (opencores.org) 12.0 4 interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender 16.1 1 altera_avalon_jtag_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART 16.1 5 altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule PIO (Parallel I/O) 16.1 1 altera_nios2_gen2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor 16.1 1 interrupt_receiver com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver 16.1 1 reset_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output 16.1 1 nios_custom_instruction_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master 16.1 1 altera_avalon_onchip_memory2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) 16.1 1 altera_avalon_new_sdram_controller com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule SDRAM Controller 16.1 1 altera_avalon_sysid_qsys com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule System ID Peripheral 16.1 1 altera_avalon_timer com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer 16.1 19 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection 16.1 4 avalon_streaming com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Streaming Connection 16.1 19 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection 16.1 4 interrupt com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection 16.1 37 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection 16.1 16.1 196